ハードウェア仕様

14.1. 電気的仕様

14.1.1. 絶対最大定格

表14.1 絶対最大定格

項目 記号 Min. Max. 単位 備考

電源電圧

VIN

-0.3

4.8

V

入出力電圧(USB信号以外)

VI,VO

-0.5

OVDD+0.3

V

OVDD=+3.3V_IO, VDD_SNVS_IN [a]

入力電圧(USB信号)

VI_USB

-0.3

3.63

V

USB_OTG1_DP, USB_OTG1_DN, USB_OTG2_DP, USB_OTG2_DN

入力電圧(USB_VBUS)

VI_VBUS

5.5

V

USB_OTG1_VBUS, USB_OTG2_VBUS

RTCバックアップ電源電圧

RTC_BAT

-0.3

3.6

V

使用温度範囲

Topr

-20

70

結露なきこと

[a] Armadillo-610: CON2の13ピンのみOVDD=VDD_SNVS_INとなります。VDD_SNVS_INはダイオードを介してVSNVSとVDD_HIGH_INの電源が供給されています。


[警告]

絶対最大定格は、あらゆる使用条件や試験状況において、瞬時でも超えてはならない値です。上記の値に対して余裕をもってご使用ください。

14.1.2. 推奨動作条件

表14.2 推奨動作条件

項目 記号 Min. Typ. Max. 単位 備考

電源電圧

VIN

3.6

4.5

V

入力電圧(USB_VBUS)

VI_VBUS

4.4

5.5

V

USB_OTG1_VBUS, USB_OTG2_VBUS

RTCバックアップ電源電圧

RTC_BAT

2.75

3.3

V

Topr=+25℃

使用温度範囲

Ta

-20

25

70

V

結露なきこと


14.1.3. 入出力インターフェースの電気的仕様

表14.3 入出力インターフェース(電源)の電気的仕様

項目 記号 Min. Typ. Max. 単位 備考

5V 電源電圧

+5V_IO

4.8

5

5.15

V

3.3V 電源電圧

+3.3V_IO

3.102

3.3

3.498

V

VDD_HIGH_IN

3.201

3.3

3.399

V

セキュア用電源電圧

VSNVS

2.85

3.0

3.21

V

3.2V < VIN < 4.5V, OFF mode

2.85

3.0

3.15

V

3.2V < VIN < 4.5V, On mode

RTC_BAT-0.1

RTC_BAT

V

2.84V < RTC_BAT < 3.3V, Coin cell mode


表14.4 入出力インターフェースの電気的仕様(OVDD = +3.3V_IO、VDD_SNVS_IN)

項目 記号 Min. Max. 単位 備考

ハイレベル出力電圧

VOH

OVDD-0.15

OVDD

V

IOH = -0.1mA, -1mA

ローレベル出力電圧

VOL

0

0.15

V

IOL = 0.1mA, 1mA

ハイレベル入力電圧[a]

VIH

0.7×OVDD

OVDD

V

ローレベル入力電圧[a]

VIL

0

0.3×OVDD

V

ローレベル入力電圧(ONOFF信号)

VIL

0

0.9

V

ローレベル入力電圧(PWRON信号)

VIL

0

0.5

V

ローレベル入力電圧(EXT_RESET_B信号)

VIL

0

0.19

V

入力リーク電流(no Pull-up/Pull-down)

IIN

-1

1

μA

Pull-up抵抗(5kΩ)

4

6

Pull-up抵抗(47kΩ)

37.6

56.4

Pull-up抵抗(100kΩ)

80

120

Pull-down抵抗(100kΩ)

80

120

[a] オーバーシュートとアンダーシュートは0.6V以下でかつ4nsを超えないようにしてください。


14.1.4. 電源回路の構成

Armadillo-610の電源回路の構成は図14.1「電源回路の構成」のとおりです。

images/block-power.svg

図14.1 電源回路の構成


拡張インターフェース(Armadillo-610: CON2)からの入力電圧(VIN)をパワーマネジメントIC(PMIC)で各電圧に変換し、 内部回路および各インターフェースに供給しています。 各インターフェースやスイッチング・レギュレータの最大出力電流値を超えないように、 外部機器の接続、供給電源の設計を行なってください。

電源シーケンスは次のとおりです。

images/power-sequence.svg

図14.2 電源シーケンス [9]


[ティップ]

USB_OTG1_VBUS, USB_OTG2_VBUSは電源シーケンスに関わらず、いつ電源を投入しても問題ありません。

14.1.5. リセット回路の構成

リセット回路の構成は図14.3「リセット回路の構成」のとおりです。

images/block-reset.svg

図14.3 リセット回路の構成


拡張インターフェース(Armadillo-610: CON2)およびJTAGインターフェース(Armadillo-610: CON10)のEXT_RESET_Bピンは i.MX6ULLのPOR_Bピンに接続されています。 EXT_RESET_BピンからLowレベル出力することで、システムリセットすることができます。 確実にシステムリセットするためには、20ミリ秒以上Lowレベルを保持する必要があります。

images/common-images/reset-sequence.svg

図14.4 システムリセットする場合のLowレベル保持時間


EXT_RESET_Bピンからシステムリセットする場合は、オープンドレイン出力等でGNDとショートする回路を接続してください。

リセット信号が必要なデバイスを拡張する場合、拡張インターフェース(Armadillo-610: CON2)のEXT_RESET_Bピンを利用してリセットすることも可能です。

14.1.6. 外部からの電源制御

拡張インターフェース(Armadillo-610: CON2)のONOFFピンおよびPWRONピンより、パワーマネジメントICからi.MX6ULLへの電源供給を制御することが可能です。

14.1.6.1. ONOFFピンからの電源制御

拡張インターフェース(Armadillo-610: CON2)のONOFFピンはi.MX6ULLのONOFFピンに接続されています。 ONOFFピンから一定時間以上Lowレベル出力することで、i.MX6ULLの保持している電源のオン状態、オフ状態が切り替わります。

電源がオフ状態に切り替わった場合、i.MX6ULLからパワーマネジメントICのPWRONピンにLowレベルが出力され、パワーマネジメントICからの電源が切断されます。

電源オン状態からオフ状態に切り替える場合は5秒以上、電源オフ状態からオン状態に切り替える場合は500ミリ秒以上、Lowレベルを保持する必要があります。

連続して電源オンとオフを切り替える場合は、確実に動作させるために5秒以上の間隔を空けてください。

ONOFFピンから電源制御する場合は、オープンドレイン出力等でGNDとショートする回路を接続してください。

表14.5 ONOFFピンから電源オン、オフ切り替えする際のLow保持時間

状態 Low保持時間

電源オンからオフ

5秒以上

電源オフからオン

500ミリ秒以上


電源オンまたはオフの状態は、拡張インターフェース(Armadillo-610: CON2)のVINピンとRTC_BATピンのどちらか一方でも電源が供給されている限り、保持されます。

images/block-onoff.svg

図14.5 ONOFF回路の構成 [10]


[警告]

電源オフの状態にして拡張インターフェース(Armadillo-610: CON2)のVINピンからの電源を切断した場合、 電荷が抜けるまでは電源オフであることが保持されます。 電源オフを保持した状態で電源を投入したくない場合は、5秒以上間隔を空けて電源を投入してください。

14.1.6.2. PWRONピンからの電源制御

拡張インターフェース(Armadillo-610: CON2)のPWRONピンはパワーマネジメントICのPWRONピンに接続されています。 PWRONピンからLowレベル出力することで、パワーマネジメントICからの電源が切断されます。

PWRONピンから電源制御する場合は、オープンドレイン出力等でGNDとショートする回路を接続してください。

14.2. インターフェース仕様

Armadillo-610のインターフェース仕様について説明します。

images/a610-interface-details.svg

図14.6 Armadillo-610のインターフェース


表14.6 Armadillo-610 インターフェース一覧 [11]

部品番号 インターフェース名 型番 メーカー

CON1

SDインターフェース

SDHK-8BNS-K-303-TB(HF)

J.S.T.Mfg.

CON2

拡張インターフェース

DF40C-100DP-0.4V(51)

HIROSE ELECTRIC

CON10

JTAGインターフェース

A3B-08PA-2DSA(51)

HIROSE ELECTRIC

LED5

ユーザー LED(黄)

SML-310YTT86

ROHM

[11] 部品の実装、未実装を問わず、搭載可能な部品型番を記載しています。


[警告]

表14.6「Armadillo-610 インターフェース一覧 」には搭載可能な代表型番を記載しており、 実際に搭載されている部品型番と違う場合があります。 お手元の製品に搭載されている部品型番や部品の実装、未実装の情報については、 「アットマークテクノ Armadilloサイト」からダウンロードできる 納入仕様書および変更履歴表にてご確認ください。

14.2.1. CON1(SDインターフェース)

CON1はハイスピード(最大クロック周波数: 49.5MHz)に対応したSDインターフェースです。 信号線はi.MX6ULLのSDホストコントローラ(uSDHC2)に接続されています。

microSDカードに供給される電源はi.MX6ULLのNAND_ALEピン(GPIO4_IO10)で制御が可能です。 Highレベル出力で電源が供給され、Lowレベル出力で電源が切断されます。

[警告]

CON1は活線挿抜に対応していません。microSDカードの挿抜は、電源を切断してから行ってください。

[警告]

SDコントローラ(uSDHC2)はCON2(拡張インターフェース)でも利用可能ですが、排他利用となります。

表14.7 CON1 信号配列

ピン番号 ピン名 I/O 説明

1

DAT2

In/Out

SDデータバス(bit2)、i.MX6ULLのNAND_DATA02ピンに接続

2

CD/DAT3

In/Out

SDデータバス(bit3)、i.MX6ULLのNAND_DATA03ピンに接続

3

CMD

In/Out

SDコマンド/レスポンス、i.MX6ULLのNAND_WE_Bピンに接続

4

VDD

Power

電源(+3.3V_IO)

5

CLK

Out

SDクロック、i.MX6ULLのNAND_RE_Bピンに接続

6

VSS

Power

電源(GND)

7

DAT0

In/Out

SDデータバス(bit0)、i.MX6ULLのNAND_DATA00ピンに接続

8

DAT1

In/Out

SDデータバス(bit1)、i.MX6ULLのNAND_DATA01ピンに接続


14.2.1.1. microSDカードの挿抜方法

  1. 上からカバーを軽く押し、約1.2mmスライドさせて、ロックを解除します。

    images/sd-1.png

    図14.7 カバーのロックを解除する


  2. カバーを開けます。

    images/sd-2.png

    図14.8 カバーを開ける


    [警告]

    カバーは過度な力で回転させたり、回転方向以外の方向へ力を加えると、 破損の原因となりますので、ご注意ください。

  3. 任意の角度までトレイを開いた状態で、microSDカードを挿抜します。

    images/sd-4.png

    図14.9 microSDカードの挿抜


    [ティップ]

    microSDカード挿入方向については、カバーに刻印されているカードマークを目安にしてください。

    images/sd-3.png

    図14.10 カードマークの確認


  4. カバーを閉めます。

    images/sd-5.png

    図14.11 カバーを閉める


  5. 上からカバーを軽く押し、約1.2mmスライドさせて、ロックします。

    images/sd-6.png

    図14.12 カバーをロックする


[ティップ]

microSDカード装着後のカードの抜き取り手順は挿入時と同じです。

14.2.2. CON2(拡張インターフェース)

CON2はArmadillo-610拡張用のインターフェースです。 電源、リセット、複数の機能(マルチプレクス)をもったi.MX6ULLの信号線、USB、Ethernet PHYの信号線等、Armadillo-610を拡張するために必要な信号線がすべて接続されています。

Armadillo-610の電源はVINピンから供給します。

RTC_BATピンは、i.MX6ULLの低消費電力ドメインにあるSRTC(Secure Real Time Clock)の外部バックアップインターフェースで、 長時間電源が切断されてもi.MX6ULLの一部データ(時刻データ等)を保持させたい場合にご使用ください。

[警告]

プルアップ/ダウン抵抗が接続されている拡張入出力ピンは、i.MX6ULLの内蔵ROMによるブートモード設定ピンを兼用しており、 ブートモード設定のため、プルアップ/ダウン抵抗で電源投入時にHigh/Lowレベルの状態を保持しています。 意図しない動作を引き起こす原因となるため、電源投入時からU-Bootが動作するまでは、 各々のピンをHigh/Lowレベルに保持した状態でご使用ください。

表14.8 CON2 信号配列

ピン番号 ピン名 I/O 説明

1

USB_OTG1_DP

In/Out

USB_OTG1のプラス側信号、i.MX6ULLのUSB_OTG1_DPピンに接続

2

USB_OTG1_DN

In/Out

USB_OTG1のマイナス側信号、i.MX6ULLのUSB_OTG1_DNピンに接続

3

GND

Power

電源(GND)

4

USB_OTG2_DN

In/Out

USB_OTG2のマイナス側信号、i.MX6ULLのUSB_OTG2_DNピンに接続

5

USB_OTG2_DP

In/Out

USB_OTG2のプラス側信号、i.MX6ULLのUSB_OTG2_DPピンに接続

6

GND

Power

電源(GND)

7

USB_OTG1_VBUS

Power

電源(USB_OTG1_VBUS)、i.MX6ULLのUSB_OTG1_VBUSピンに接続、1uFのバイパスコンデンサが接続されています。

8

USB_OTG2_VBUS

Power

電源(USB_OTG2_VBUS)、i.MX6ULLのUSB_OTG2_VBUSピンに接続、1uFのバイパスコンデンサが接続されています。

9

SPEEDLED

Out

LANスピードLED用信号、Ethernet PHYのLED2ピンに接続

10

LINK_ACTLED

Out

LANリンクアクティビティLED用信号、Ethernet PHYのLED1ピンに接続

11

GPIO1_IO19

In/Out

拡張入出力、i.MX6ULLのUART1_RTS_Bピンに接続、基板上で10kΩプルダウンされています。

12

GPIO4_IO17

In/Out

拡張入出力、i.MX6ULLのCSI_MCLKピンに接続

13

GPIO5_IO00

In/Out

拡張入出力、i.MX6ULLのSNVS_TAMPER0ピンに接続、オープンドレインでの使用推奨 [a]

14

GPIO1_IO04

In/Out

拡張入出力、i.MX6ULLのGPIO1_IO04ピンに接続

15

GPIO1_IO03

In/Out

拡張入出力、i.MX6ULLのGPIO1_IO03ピンに接続

16

GPIO1_IO02

In/Out

拡張入出力、i.MX6ULLのGPIO1_IO02ピンに接続

17

GPIO1_IO01

In/Out

拡張入出力、i.MX6ULLのGPIO1_IO01ピンに接続

18

LCD_DATA00

In/Out

拡張入出力、i.MX6ULLのLCD_DATA00ピンに接続、基板上で10kΩプルダウンされています。

19

LCD_DATA01

In/Out

拡張入出力、i.MX6ULLのLCD_DATA01ピンに接続、基板上で10kΩプルアップ(+3.3V_IO)されています。

20

LCD_DATA02

In/Out

拡張入出力、i.MX6ULLのLCD_DATA02ピンに接続、基板上で10kΩプルダウンされています。

21

LCD_DATA03

In/Out

拡張入出力、i.MX6ULLのLCD_DATA03ピンに接続、基板上で10kΩプルダウンされています。

22

LCD_DATA04

In/Out

拡張入出力、i.MX6ULLのLCD_DATA04ピンに接続、基板上で10kΩプルダウンされています。

23

LCD_DATA05

In/Out

拡張入出力、i.MX6ULLのLCD_DATA05ピンに接続、BJP1がLowレベル時10kΩプルアップ(+3.3V_IO)、Highレベル時10kΩプルダウンされます。

24

LCD_DATA06

In/Out

拡張入出力、i.MX6ULLのLCD_DATA06ピンに接続、基板上で10kΩプルアップ(+3.3V_IO)されています。

25

LCD_DATA07

In/Out

拡張入出力、i.MX6ULLのLCD_DATA07ピンに接続、基板上で10kΩプルダウンされています。

26

LCD_DATA08

In/Out

拡張入出力、i.MX6ULLのLCD_DATA08ピンに接続、基板上で10kΩプルダウンされています。

27

LCD_DATA09

In/Out

拡張入出力、i.MX6ULLのLCD_DATA09ピンに接続、基板上で10kΩプルダウンされています。

28

LCD_DATA10

In/Out

拡張入出力、i.MX6ULLのLCD_DATA10ピンに接続、基板上で10kΩプルダウンされています。

29

LCD_DATA11

In/Out

拡張入出力、i.MX6ULLのLCD_DATA11ピンに接続、BJP1がLowレベル時10kΩプルダウン、Highレベル時10kΩプルアップ(+3.3V_IO)されます。

30

LCD_DATA12

In/Out

拡張入出力、i.MX6ULLのLCD_DATA12ピンに接続、基板上で10kΩプルダウンされています。

31

LCD_DATA13

In/Out

拡張入出力、i.MX6ULLのLCD_DATA13ピンに接続、基板上で10kΩプルダウンされています。

32

LCD_DATA14

In/Out

拡張入出力、i.MX6ULLのLCD_DATA14ピンに接続、基板上で10kΩプルダウンされています。

33

LCD_DATA15

In/Out

拡張入出力、i.MX6ULLのLCD_DATA15ピンに接続、基板上で10kΩプルダウンされています。

34

LCD_DATA16

In/Out

拡張入出力、i.MX6ULLのLCD_DATA16ピンに接続、基板上で10kΩプルダウンされています。

35

LCD_DATA17

In/Out

拡張入出力、i.MX6ULLのLCD_DATA17ピンに接続、基板上で10kΩプルダウンされています。

36

GND

Power

電源(GND)

37

LCD_CLK

In/Out

拡張入出力、i.MX6ULLのLCD_CLKピンに接続

38

LCD_HSYNC

In/Out

拡張入出力、i.MX6ULLのLCD_HSYNCピンに接続

39

LCD_VSYNC

In/Out

拡張入出力、i.MX6ULLのLCD_VSYNCピンに接続

40

LCD_ENABLE

In/Out

拡張入出力、i.MX6ULLのLCD_ENABLEピンに接続

41

PWM5_OUT

In/Out

拡張入出力、i.MX6ULLのNAND_DQSピンに接続

42

BJP1

In

起動デバイス設定用信号、ロジックICを経由してi.MX6ULLのLCD_DATA05ピン、LCD_DATA11ピンに接続、基板上で47kΩプルダウンされています。

(Low: LCD_DATA05ピンは10kΩプルアップ(+3.3V_IO)、LCD_DATA11ピンは10kΩプルダウンされます。High: LCD_DATA05ピンは10kΩプルダウン、LCD_DATA11ピンは10kΩプルアップ(+3.3V_IO)されます。)

43

JTAG_MOD

In

SJCモード設定ピン、i.MX6ULLのJTAG_MODピンに接続、基板上で11kΩプルダウンされています。 [b]

44

EXT_RESET_B

In

システムリセット、i.MX6ULLのPOR_Bピンに接続、オープンドレイン入力

45

+3.3V_IO

Power

電源(+3.3V_IO)

46

+3.3V_IO

Power

電源(+3.3V_IO)

47

VIN

Power

電源(VIN)

48

VIN

Power

電源(VIN)

49

VIN

Power

電源(VIN)

50

VIN

Power

電源(VIN)

51

GND

Power

電源(GND)

52

GND

Power

電源(GND)

53

+5V_IO

Power

電源(+5V_IO)

54

+5V_IO

Power

電源(+5V_IO)

55

GPIO4_IO19

In/Out

拡張入出力、i.MX6ULLのCSI_VSYNCピンに接続

56

GPIO4_IO20

In/Out

拡張入出力、i.MX6ULLのCSI_HSYNCピンに接続

57

GPIO4_IO25

In/Out

拡張入出力、i.MX6ULLのCSI_DATA04ピンに接続

58

GPIO4_IO26

In/Out

拡張入出力、i.MX6ULLのCSI_DATA05ピンに接続

59

GPIO4_IO27

In/Out

拡張入出力、i.MX6ULLのCSI_DATA06ピンに接続

60

GPIO4_IO28

In/Out

拡張入出力、i.MX6ULLのCSI_DATA07ピンに接続

61

GPIO4_IO23

In/Out

拡張入出力、i.MX6ULLのCSI_DATA02ピンに接続

62

GPIO4_IO22

In/Out

拡張入出力、i.MX6ULLのCSI_DATA01ピンに接続

63

GPIO4_IO24

In/Out

拡張入出力、i.MX6ULLのCSI_DATA03ピンに接続

64

GPIO4_IO21

In/Out

拡張入出力、i.MX6ULLのCSI_DATA00ピンに接続

65

GPIO4_IO18

In/Out

拡張入出力、i.MX6ULLのCSI_PIXCLKピンに接続

66

GPIO4_IO09

In/Out

拡張入出力、i.MX6ULLのNAND_DATA07ピンに接続

67

GPIO4_IO08

In/Out

拡張入出力、i.MX6ULLのNAND_DATA06ピンに接続

68

GPIO4_IO07

In/Out

拡張入出力、i.MX6ULLのNAND_DATA05ピンに接続

69

GPIO4_IO06

In/Out

拡張入出力、i.MX6ULLのNAND_DATA04ピンに接続

70

GPIO3_IO28

In/Out

拡張入出力、i.MX6ULLのLCD_DATA23ピンに接続、基板上で47kΩプルダウンされています。

71

GPIO3_IO27

In/Out

拡張入出力、i.MX6ULLのLCD_DATA22ピンに接続

72

GPIO3_IO26

In/Out

拡張入出力、i.MX6ULLのLCD_DATA21ピンに接続

73

GPIO3_IO25

In/Out

拡張入出力、i.MX6ULLのLCD_DATA20ピンに接続

74

GPIO3_IO24

In/Out

拡張入出力、i.MX6ULLのLCD_DATA19ピンに接続

75

GND

Power

電源(GND)

76

GPIO3_IO23

In/Out

拡張入出力、i.MX6ULLのLCD_DATA18ピンに接続

77

PWRON

In

パワーマネジメントICのPWRON信号、オープンドレイン入力、パワーマネジメントICのPWRONピンとi.MX6ULLのPMIC_ON_REQピンに接続、i.MX6ULL内部で100kΩプルアップ(VDD_SNVS_IN)されています。

78

ONOFF

In

i.MX6ULLのON/OFF信号、オープンドレイン入力、i.MX6ULLのONOFFピンに接続、i.MX6ULL内部で100kΩプルアップ(VDD_SNVS_IN)されています。

79

RTC_BAT

Power

電源(RTC_BAT)、パワーマネジメントICのLICELLピンに接続

80

GPIO1_IO08

In/Out

拡張入出力、i.MX6ULLのGPIO1_IO08ピンに接続

81

GPIO1_IO05

In/Out

拡張入出力、i.MX6ULLのGPIO1_IO05ピンに接続

82

GPIO1_IO30

In/Out

拡張入出力、i.MX6ULLのUART5_TX_DATAピンに接続

83

GPIO1_IO16

In/Out

拡張入出力、i.MX6ULLのUART1_TX_DATAピンに接続

84

GPIO1_IO31

In/Out

拡張入出力、i.MX6ULLのUART5_RX_DATAピンに接続

85

GPIO1_IO17

In/Out

拡張入出力、i.MX6ULLのUART1_RX_DATAピンに接続

86

GPIO1_IO23

In/Out

拡張入出力、i.MX6ULLのUART2_RTS_Bピンに接続

87

GPIO1_IO22

In/Out

拡張入出力、i.MX6ULLのUART2_CTS_Bピンに接続

88

GPIO1_IO21

In/Out

拡張入出力、i.MX6ULLのUART2_RX_DATAピンに接続

89

GPIO1_IO20

In/Out

拡張入出力、i.MX6ULLのUART2_TX_DATAピンに接続

90

GPIO1_IO00

In/Out

拡張入出力、i.MX6ULLのGPIO1_IO00ピンに接続

91

GPIO1_IO26

In/Out

拡張入出力、i.MX6ULLのUART3_CTS_Bピンに接続

92

GPIO1_IO27

In/Out

拡張入出力、i.MX6ULLのUART3_RTS_Bピンに接続

93

GPIO1_IO25

In/Out

拡張入出力、i.MX6ULLのUART3_RX_DATAピンに接続

94

GPIO1_IO24

In/Out

拡張入出力、i.MX6ULLのUART3_TX_DATAピンに接続

95

GND

Power

電源(GND)

96

Ethrer_RXN

In/Out

Ethernet 送信/受信データ(+) CH1、Ethernet PHY(LAN8720AI)のRXNピンに接続

97

Ethrer_RXP

In/Out

Ethernet 送信/受信データ(-) CH1、Ethernet PHY(LAN8720AI)のRXPピンに接続

98

GND

Power

電源(GND)

99

Ethrer_TXN

In/Out

Ethernet 送信/受信データ(-) CH2、Ethernet PHY(LAN8720AI)のTXNピンに接続

100

Ethrer_TXP

In/Out

Ethernet 送信/受信データ(+) CH2、Ethernet PHY(LAN8720AI)のTXPピンに接続

[a] GPIO5_IO00のみVDD_SNVS_IN系の拡張入出力ピンとなります。電圧レベルにご注意ください。

[b] Armadillo-610拡張ボードではGPIOで使用していますが、JTAGモード設定ピンですので、GPIOでの使用は推奨しません。動作を理解した上でご使用ください。


以降では、CON2から拡張可能な機能の概要について説明します。

[ティップ]

拡張入出力となっている信号線のほとんどが、複数の機能をもっています。 拡張できる機能の詳細につきましては、 「アットマークテクノ Armadilloサイト」からダウンロードできる 『Armadillo-610 マルチプレクス表』をご参照ください。

[警告]

複数箇所に割り当て可能な信号(USDHC2、UART1、ESPI1、I2C2等)がありますが、 同じ信号は複数ピンで同時利用できません。

14.2.2.1. LAN(Ethernet)

LANを1ポート拡張することが可能です。

信号線はEthernet PHY(LAN8720AI-CP/Microchip Technology)を経由して i.MX6ULLのEthernetコントローラ(ENET1)に接続されています。

  • 通信速度: 10BASE-T/100BASE-TX(AUTO-MDIX対応)

14.2.2.2. USB

USBを2ポート拡張可能で、Hostは最大2ポート、OTGは最大1ポート拡張することが可能です。 信号線はi.MX6ULLのUSBコントローラ(USB_OTG1、USB_OTG2)に接続されています。

  • USB 2.0

    • High Speed(480Mbps)
    • Full Speed(12Mbps)
    • Low Speed(1.5Mbps)

14.2.2.3. UART

シリアル(UART)を最大8ポート拡張することが可能です。 信号線はi.MX6ULLのUART(UART1、UART2、UART3、UART4、UART5、UART6、UART7、UART8)に接続されています。

  • 最大データ転送レート: 4Mbps
  • 信号レベル: +3.3V_IO

14.2.2.4. SD/SDIO/MMC

CON1(SDインターフェース)と排他でSD/SDIO/MMCを1ポート拡張することが可能です。 信号線はi.MX6ULLのSDホストコントローラ(uSDHC2)に接続されています。

  • 最大クロック周波数: 49.5MHz
  • 信号レベル: +3.3V_IO

14.2.2.5. LCD

LCDを最大1ポート拡張することが可能です。 信号線はi.MX6ULLのLCDインターフェース(eLCDIF)に接続されています。

  • 最大解像度: WXGA(1366 x 768/24bpp)
  • 信号レベル: +3.3V_IO

14.2.2.6. I2S(SAI)

I2Sを最大2ポート拡張することが可能です。 信号線はi.MX6ULLの同期式オーディオインターフェース(SAI1、SAI3)に接続されています。

  • 信号レベル: +3.3V_IO

14.2.2.7. MQS

MQSを最大1ポート拡張することが可能です。 信号線はi.MX6ULLのMedium Quality Sound(MQS)に接続されています。

  • 信号レベル: +3.3V_IO

14.2.2.8. S/PDIF

S/PDIFを最大1ポート拡張することが可能です。 信号線はi.MX6ULLのSony/Philips デジタルインターフェース(SPDIF)に接続されています。

  • 信号レベル: +3.3V_IO

14.2.2.9. I2C

I2Cを最大2ポート拡張することが可能です。 信号線はi.MX6ULLのI2Cコントローラ(I2C2、I2C4)に接続されています。

  • 最大データ転送レート: 400kbps
  • 信号レベル: +3.3V_IO

14.2.2.10. SPI

SPIを最大4ポート拡張することが可能です。 信号線はi.MX6ULLのESPI(ECSPI1、ECSPI2、ECSPI3、ECSPI4)に接続されています。

  • 信号レベル : +3.3V_IO

14.2.2.11. CAN

CANを最大2ポート拡張することが可能です。 信号線はi.MX6ULLのFLEXCAN(FLEXCAN1、FLEXCAN2)に接続されています。

  • プロトコルバージョン2.0Bアクティブ対応
  • 信号レベル: +3.3V_IO

14.2.2.12. A/D

A/Dを最大8ポート拡張することが可能です。 信号線はi.MX6ULLのADコンバーター(ADC1、ADC2)に接続されています。

  • 分解能: 最大12ビット
  • サンプリングレート: 最大1MS/s
  • 測定電圧範囲: DC 0〜3.3V

14.2.2.13. PWM

PWMを最大8ポート拡張することが可能です。

  • 最大周波数: 66MHz
  • 信号レベル: +3.3V_IO

14.2.2.14. GPIO

GPIOを最大66ポート拡張することが可能です。

  • 信号レベル : +3.3V_IO

14.2.2.15. リアルタイムクロック

i.MX6ULL内蔵のリアルタイムクロックを使用可能です。 バックアップ用のピン(RTC_BAT)が接続されていますので、 Armadillo-610の電源(VIN)が切断されても時刻データを保持したい場合にご使用ください。

  • 平均月差: 約70秒@25℃(参考値)
  • バックアップ時間: 約4か月(CR2032使用時の参考値)

14.2.3. CON10(JTAGインターフェース)

CON10はJTAGデバッガを接続することのできるJTAGインターフェースです。 信号線はi.MX6ULLのシステムJTAGコントローラ(SJC)に接続されています。

[ティップ]

JTAGのセキュリティ状態はeFuseで変更することが可能です。

[ティップ]

システムJTAGコントローラの詳細につきましては、NXP Semiconductorsのホームページからダウンロード可能な 『i.MX 6ULL Applications Processor Reference Manual』をご参照ください。 モード設定に必要なi.MX6ULLのJTAG_MODピンはCON2(拡張インターフェース)に接続されています。

表14.9 CON10 信号配列

ピン番号 ピン名 I/O 説明

1

+3.3V_IO

Power

電源(+3.3V_IO)

2

JTAG_TRST_B

In

テストリセット、i.MX6ULLのJTAG_TRST_Bピンに接続、i.MX6ULL内部で47kΩプルアップ(+3.3V_IO)されています。

3

JTAG_TDI

In

テストデータ入力、i.MX6ULLのJTAG_TDIピンに接続、i.MX6ULL内部で47kΩプルアップ(+3.3V_IO)されています。

4

JTAG_TMS

In

テストモード選択、i.MX6ULLのJTAG_TMSピンに接続、i.MX6ULL内部で47kΩプルアップ(+3.3V_IO)されています。

5

JTAG_TCK

In

テストクロック、i.MX6ULLのJTAG_TCKピンに接続、i.MX6ULL内部で47kΩプルアップ(+3.3V_IO)されています。

6

JTAG_TDO

Out

テストデータ出力、i.MX6ULLのJTAG_TDOピンに接続

7

EXT_RESET_B

In

システムリセット、i.MX6ULLのPOR_Bピンに接続、オープンドレイン入力

8

GND

Power

電源(GND)


14.2.4. LED5(ユーザーLED)

LED5は、ユーザー側で自由に利用できるLEDです。

表14.10 LED5

部品番号 名称(色) 説明

LED5

ユーザー LED(黄)

i.MX6ULLのUART1_CTS_Bピンに接続、(Low: 消灯、High: 点灯)


14.3. 形状図

14.3.1. 基板形状図

images/a610-hole-dimension.svg

図14.13 基板形状および固定穴寸法


images/a610-parts-dimension.svg

図14.14 コネクタ中心寸法


images/a610-stacking-height.svg

図14.15 Armadillo-610のスタッキング高さ


[警告]

基板改版や部品変更により、基板上の部品位置、高さは変更になることがあります。 ケースや拡張基板を設計する場合、ある程度の余裕をもった寸法での設計をお願いいたします。

[ティップ]

DXF形式の基板形状図を、購入者向けの限定データとして 「アットマークテクノ Armadilloサイト」からダウンロード可能です。

14.4. 設計情報

本章では、Armadillo-610の機能拡張や信頼性向上のための設計情報について説明します。

14.4.1. 信頼性試験データについて

Armadillo-610の各種信頼性試験データを、「アットマークテクノ Armadilloサイト」から 「購入者向けの限定公開データ」としてダウンロード可能ですのでご確認ください。

14.4.2. 拡張ボードの設計

Armadillo-610は拡張インターフェース(Armadillo-610: CON2)から拡張します。 電源、リセット、複数の機能をもったi.MX6ULLの信号線等、 Armadillo-610を拡張するために必要な信号線はすべて、 CON2の100ピンコネクタに接続されています。

images/a610-ext-con2.svg

図14.16 Afmadillo-610のCON2


Armadillo-610では、表3.3「仕様」の拡張インターフェースの欄にあるとおりの機能が拡張できます。ただし、ここに記載の拡張数は、優先的に機能を割り当てた場合の最大数ですので、必要な機能がすべて実現できるかは、『Armadillo-610 マルチプレクス表』で検討する必要があります。

マルチプレクス表では、CON2の各ピンに割り当て可能な機能の他に、リセット後の信号状態、プルアップ/ダウン抵抗の有無等の情報を確認することができます。

images/a610-multi-ex.svg

図14.17 Afmadillo-610のマルチプレクス表


本書には各機能の概要しか記載していませんので、詳細な仕様が必要な場合は、NXP Semiconductorsのホームページからダウンロード可能な、『i.MX 6ULL Applications Processor Reference Manual』、『i.MX 6ULL Applications Processors for Industrial Products』をご確認ください。Armadillo-610固有の情報を除いて、回路設計に必要な情報はこれらのマニュアルに、すべて記載されています。 検索しやすいように、マルチプレクス表や「CON2(拡張インターフェース)」にi.MX6ULLのピン名やコントローラー名を記載しておりますので、是非ご活用ください。

[ティップ]

Armadillo-610 マルチプレクス表はlink:「アットマークテクノ Armadilloサイト」からダウンロードしてください。

Armadillo-610の拡張ボードを設計開発するためのリファレンスボードとして、 Armadillo-610 拡張ボードの回路図を公開しています。 Armadillo-610 拡張ボードでは、以下の機能の拡張方法を確認することが可能です。

  • 電源
  • 起動デバイス設定
  • LAN(Ethernet)、無線LAN
  • USB HUB、USB Host、USB OTG
  • SD
  • LCD [12]
  • RS485、UART(デバッグ用)
  • MQS、SAI [12]
  • 絶縁デジタル入出力
  • リアルタイムクロック
  • スイッチ、LED
  • A/D、I2C
[ティップ]

Armadillo-610 拡張ボードの回路図/部品表[13]はlink:「アットマークテクノ Armadilloサイト」からダウンロードしてください。

14.4.2.1. 電源

Armadillo-610の電源電圧は3.6~4.5Vです。 拡張ボード側にUSB Hostを搭載するのであれば、USBデバイスに供給するための5Vが必要となりますので、 拡張ボード側の主電源を5VにしてUSBへの供給電源とし、 5Vを3.6~4.5Vの電圧に降圧して、Armadillo-610に供給するのがおすすめです。

Armadillo-610 拡張ボードでは、5Vを3.7Vに降圧して Armadillo-610に供給する回路を確認することができます。 抵抗値で出力電圧を変更できるタイプのDC/DCコンバータを採用しておりますので、別の電圧値が必要な場合は、抵抗値を変更することで対応可能です。

電源は拡張インターフェース(Armadillo-610: CON2)のVINピンから供給します。CON2に搭載している100ピンのコネクタは、1ピンあたり流せる電流値が最大0.3Aです。 VINピンは全部で4本ありますので、CON2から供給できる電流値は最大1.2Aとなります。

CON2から、5V電源(+5V_IO)、3.3V電源(+3.3V_IO)が拡張ボード用に出力されています。+5V_IOは最大600mA、+3.3V_IOは最大500mAまで供給可能ですが、 Armadillo-610への最大供給電流が1.2Aであるため、必ずしも最大まで出力することはできません。 各最大電流値を超えないように外部機器の接続、供給電源の設計を行ってください。

全体の消費電力が少ないのであれば、Armadillo-610へは3.6~4.5V電源を直接供給し、5V電源、3.3V電源をArmadillo-610からの出力で賄うという構成も可能です。

Armadillo-610の電源投入時、+5V_IOはArmadillo-610の電源(VIN)とほぼ同時に立ち上がり、 一定時間VINと同電位を維持した後、ソフトウェアから有効にされたタイミングで5Vまで立ち上がります。 +5V_IOは、VINを昇圧して5V電源を生成しているため、+5V_IOからの出力電圧をVIN以下にすることはできません。 +5V_IOの出力無効時はVINと同電位の電圧が出力され、+5V_IOの出力有効時は+5Vが出力されます。 +5V_IOの出力を0Vにしたい場合は、電源制御のためのパワースイッチの搭載をおすすめします。

「電気的仕様」でArmadillo-610の電気的仕様について説明しておりますので、詳細についてはこちらでご確認ください。

images/block-power-ex.svg

図14.18 電源回路例


14.4.2.2. 起動デバイスの設定

Armadillo-610は下記2つのデバイスから起動が可能です。

  • オンボードeMMC
  • microSDカード(Armadillo-610: CON1)

どちらのデバイスから起動するかは、eFUSEもしくは拡張インターフェース(Armadillo-610: CON2)のBJP1ピンで設定します。eFUSEで設定する方法については、「eFuse を変更する」をご確認ください。 BJP1ピンの状態は、Armadillo-610の電源(VIN)投入時に読み出され、起動デバイスが選択されます。

表14.11 BJP1の状態と起動デバイス

BJP1 起動デバイス

Low

eMMC

High

microSDカード


BJP1ピンはArmadillo-610上で47kΩでプルダウンされているため、 eMMCから起動したい場合はBJP1ピンをオープン、microSDカードから起動したい場合は、 BJP1ピンを+3.3V_IOにプルアップ(抵抗値は1kΩ程度)してください。

images/sch-jp.svg

図14.19 起動設定ジャンパー例


[注記]

起動デバイスをmicroSDカードに設定した場合でも、 microSDスロットにmicroSDカードが挿さっていなかった場合は、 eMMCから起動します。 [14]

[注記]

出荷時、i.MX6ULLの起動デバイスに関するeFUSEは未設定です。

[注記]

eFUSEを設定した場合、BJP1の設定は無視されます。

[警告]

eFUSEは一度書き込むと元に戻すことができません。 eFUSEの設定によってはArmadillo-610が正常に動作しなくなる可能性がありますので、 書き込みを行う際には細心の注意を払うようお願いいたします。 eFUSEの設定によって異常が起こった場合は、保証対象外となります。

14.4.2.3. LAN(Ethernet)

LANを拡張する場合は、拡張ボード側にトランスとLANコネクタ、LEDを搭載してください。 拡張インターフェース(Armadillo-610: CON2)にはEthernet PHYの送受信の信号線およびLANのスピードLED、 アクティビティLED用の信号線が接続されています。

回路の詳細はArmadillo-610 拡張ボードで確認することが可能です。

images/block-lan.svg

図14.20 LAN(Ethernet)接続例


14.4.2.4. USB

USBは2ポート拡張可能で、Hostは最大2ポート、OTGは最大1ポート拡張することが可能です。

USB Hostを拡張する場合は、USBデバイスへ供給する電源制御のためのパワースイッチを搭載してください。 パワースイッチのイネーブルピン制御のためのGPIOは電源投入時、プルアップされていないものを選定してください。 USB_OTGx_VBUSピンを使用しない場合でも、デバイス検出のためにダイオード経由で5Vを入力する必要があります。

USBポートが3ポート以上必要な場合は、USBハブを接続してください。

Armadillo-610 拡張ボードでは、USBハブ、Host、OTGの回路を確認することが可能です。拡張ボードで採用しているUSBハブは3ポート品ですが、ピンコンパチで4ポート品もラインアップされているので、そちらもご検討ください。

images/block-usb.svg

図14.21 USB Host接続例


14.4.2.5. シリアル(UART)

Armadillo-610のシリアル(UART)の信号レベルは+3.3V_IOですので、 必要なインターフェースの規格に合わせて、レベル変換IC等を拡張ボード側に搭載してください。

images/block-uart.svg

図14.22 シリアル(UART)接続例


[警告]

i.MX6ULLのCTS、RTS信号は一般的なUARTの信号と名前が逆になっています。 誤接続にご注意ください。

[ティップ]

デバッグやメンテナンス用途であれば、拡張ボード上にレベル変換ICを搭載せずに、 外付けのレベル変換アダプタを使用するのもおすすめです。 レベル変換アダプタは、弊社からもオプションで購入することが可能です。

14.4.2.6. SD

SDホストコントローラ(uSDHC2)を使用できる信号線がSDインターフェース(Armadillo-610: CON1)と 拡張インターフェース(Armadillo-610: CON2)に接続されており、 SDホストコントローラはどちらか一方でしか使用することができません。

SDスロットを基板端に配置したい場合やSDIO接続のデバイスを拡張したい場合などにご使用ください。

SDスロットを拡張する場合、SDカード検出、ライトプロテクト検出は GPIOで行うことが可能ですので、専用ピンを割り当てる必要はありません。

BJP1ピンをHighレベルにしてArmadillo-610の電源(VIN)を投入した場合、 SDインターフェース(Armadillo-610: CON1)に接続されたmicroSDカードがブートデバイスに設定されます。 電源投入時、SDホストコントローラ(uSDHC2)はSDインターフェース(Armadillo-610: CON1)に接続されており、 microSDカードに書き込まれたイメージファイルから起動します。 起動後、マルチプレクスの設定により、SDホストコントローラ(uSDHC2)の接続先が変更になるため、拡張インターフェース(Armadillo-610: CON2)側のデバイスがブートデバイスになることはできません。

images/block-sd.svg

図14.23 SD接続例


SD2_DATA3として使用可能なGPIO3_IO28ピンはブートモード設定ピンを兼用しています。 Armadillo-610の電源(VIN)投入時からU-Bootが動作するまでは、Lowレベルを保持する必要があり、47kΩのプルダウン抵抗が接続されています。 ただし、SDカード等が正常動作するには、SD2_DATA3にプルアップ抵抗が必要となるため、 Armadillo-610の電源(VIN)投入時は立ち上がらない電源でプルアップ(抵抗値は15kΩ程度)し、 起動後にプルアップ抵抗の接続された電源を立ち上げる等の対処が必要となります。

SDスロットを拡張する回路の詳細は、Armadillo-610拡張ボードで確認することが可能です。

14.4.2.7. スイッチ、LED、リレー

スイッチやLED、リレーを拡張する場合は、GPIOを割り当てます。 GPIOに割り当て可能なピンは多数ありますので、 プルアップ/プルダウン抵抗の有無と電圧レベルを確認して、使用するピンを決定してください。

拡張インターフェース(Armadillo-610: CON2)には、i.MX6ULLの信号線が直接接続されています。 スイッチは人の手で操作するインターフェースですので、 静電気等による内部回路の故障を防ぐため、電流制限抵抗を接続することをおすすめします。

LED、リレーはGPIOピンで直接駆動せずにトランジスタ等を経由して駆動してください。

images/sch-gpio.svg

図14.24 スイッチ、LED、リレー接続例


14.4.2.8. リアルタイムクロック

i.MX6ULL内蔵のリアルタイムクロックのバックアップ用のピン(RTC_BAT)が 拡張インターフェース(Armadillo-610: CON2)に接続されていますので、 Armadillo-610の電源(VIN)を切断しても時刻データを保持したい場合にバッテリー等を接続してください。

i.MX6ULL内蔵のリアルタイムクロックは、一般的なリアルタイムクロックICよりも 消費電力が高いため、外付けバッテリーの消耗が速くなります。

バッテリーの消耗が製品の運用に支障をきたす場合は、 消費電力が少ないリアルタイムクロックを拡張ボード側に搭載してください。

14.4.2.9. LCD

デジタルRGB入力を持つ液晶パネルモジュールなどを接続することができます。 Armadillo-610 拡張ボードでは、以下のタッチパネルLCDを接続するための回路を確認することが可能です。

  • LCDオプションセット(7インチタッチパネルWVGA液晶)(型番: OP-LCD70EXT-00)、
  • Armadillo-400シリーズLCDオプションセット(4.3インチタッチパネルWQVGA液晶)(型番: OP-A400-LCD43EXT-L01)

Armadillo-610 開発セットにLCDオプションセットは付属しませんので、 動作を確認する場合は別途購入が必要となります。

オプションセットの詳細につきましては「LCDオプションセット(7インチタッチパネルWVGA液晶)」「Armadillo-400シリーズLCDオプションセット」をご確認ください。

14.4.2.10. オーディオ

I2Sで最大2ポート、MQSで最大1ポート、S/PDIFで最大1ポートオーディオを拡張することが可能です。 MQSは拡張ボード側にオーディオアンプを搭載するだけで良いので、高品質な音が必要でない場合にはおすすめです。 SAI、S/PDIFを使用する場合は、オーディオコーデックとオーディオアンプ等を拡張ボード側に搭載してください。

MQSの回路はArmadillo-610 拡張ボード、I2Sの回路はArmadillo-400シリーズ LCDオプションセットのLCD拡張ボードで確認することが可能です。

images/block-mqs.svg

図14.25 MQS接続例


14.4.2.11. リセットスイッチ

拡張インターフェース(Armadillo-610: CON2)のEXT_RESET_Bピン、PWRONピンによりリセットスイッチを拡張することが可能です。 押していない時はオープン、押した時はGNDとショートするスイッチを接続してください。

スイッチは人の手で操作するインターフェースですので、 静電気等による内部回路の故障を防ぐため、電流制限抵抗を接続することをおすすめします。

EXT_RESET_Bピンではシステムリセット、PWRONピンではパワーマネジメントICからの電源の供給/切断の制御が可能です。 それぞれの機能の詳細については、「リセット回路の構成」「外部からの電源制御」をご確認ください。

images/sch-pwron.svg

図14.26 PWRON回路例


14.4.2.12. ONOFFスイッチ

拡張インターフェース(Armadillo-610: CON2)のONOFFピンにより、長押しで電源の制御を行うスイッチを拡張することが可能です。 押していない時はオープン、押した時はGNDとショートするスイッチを接続してください。

スイッチは人の手で操作するインターフェースですので、 静電気等による内部回路の故障を防ぐため、電流制限抵抗を接続することをおすすめします。

ONOFFピンの機能の詳細については「外部からの電源制御」をご確認ください。

images/sch-onoff.svg

図14.27 ONOFF回路例


14.4.2.13. 基板形状

Armadillo-610の拡張ボードを設計する際の、推奨レイアウトは図14.28「拡張ボード推奨レイアウト」のとおりです。

Armadillo-610との接続コネクタは、HIROSE ELECTRIC製のDF40HC(3.0)-100DS-0.4V(51)を搭載してください。 嵌合高さは3mmとなりますので、Armadillo-610の下に部品を配置する場合、部品高さにご注意ください。

固定穴径とパッド寸法はマックエイト製のスルーホールタップ(TH-1.6-3.0-M3)を 実装する場合の推奨となります。 別の方法で固定する場合は適宜寸法を変更してください。

images/ext-recomanded-layout.svg

図14.28 拡張ボード推奨レイアウト


images/common-images/callouts/1.svg
DF40HC(3.0)-100DS-0.4V(51)/HIROSE ELECTRIC
images/common-images/callouts/2.svg
Armadillo-610側の最大部品高さ: 2mm

Armadillo-610の固定穴はGNDに接続されています。 GND強化のため、拡張ボード側の固定穴もGNDに接続し、金属製のスペーサーとねじで固定してください。 スペーサーの長さはコネクタの嵌合高さと同じ3mmとしてください。

Armadillo-610の固定例は図14.29「Armadillo-610の固定例」のとおりです。

images/a610-assembly.svg

図14.29 Armadillo-610の固定例


images/common-images/callouts/1.svg
なべ小ねじ(M3、L=5mm)
images/common-images/callouts/2.svg
スルーホールタップ(TH-1.6-3.0-M3/Mac-Eight)
[ティップ]

Armadillo-610の固定穴は4箇所ありますが、 コネクタから離れた位置の2箇所のみ、スペーサーとねじで固定して各種試験を行い、 動作に異常がないことを確認しております。 試験の詳細につきましては、「アットマークテクノ Armadilloサイト」の 「Armadillo-610 信頼性試験報告書」にてご確認ください。

14.5. 製品化に向けて

Armadillo-610を組み込んだ基板からの放射ノイズを減らしたり、ESD耐性を向上させるさせるための情報を紹介します。

14.5.1. 放射ノイズ

フレキシブルフラットケーブル(FFC)を使用して拡張を行った場合、 放射ノイズが問題になる場合があります。 特に、オーディオアンプのような電力が大きく変動するデバイスを FFCで接続する拡張ボードに搭載している場合、 FFCのGND線の接続のみでは強い放射ノイズが発生する可能性があります。 放射ノイズを減らすために、以下の対策が効果的です。

  • シールド付FFCを使用する

    • 長さが余る場合は、ケーブルを折りたたむ
    • シールドは拡張ボードのGNDに接続する
  • 固定穴をGNDと接続し、固定穴同士を太い導線や金属スペーサー等で接続する
  • 未使用の拡張ピンはLowレベル出力とする
  • 使用する拡張ピンはコンデンサ(1000pF程度)を介してGNDと接続する

14.5.2. ESD/雷サージ

Armadillo-610を組み込んだ機器のESD耐性を向上させるために、以下の対策が効果的です。

  • 金属筐体に組み込み、GND(固定穴等)を金属ねじ等で接続する
  • 金属筐体を接地する

Armadillo-610を組み込んだ機器に接続されたケーブルが屋外に露出するような設置環境では、 ケーブルに侵入した雷サージ等のストレスによりインターフェース回路が破壊される場合があります。 ストレスへの耐性を向上させるために、以下の対策が効果的です。

  • 通信対向機とのGND接続を強化する
  • シールド付きのケーブルを使用する


[9] T1: 任意のタイミング

[10] SNVS_LP:低消費電力ドメインです。詳細につきましては、NXP Semiconductorsのホームページからダウンロード可能な『i.MX 6ULL Applications Processor Reference Manual』をご参照ください。

[12] Armadillo-400 シリーズLCD オプションセットのLCD拡張ボードの回路図も合わせてご確認ください。

[13] Armadillo-610 拡張ボードの回路図、部品表は購入者向けの限定データです。

[14] eFUSEでeMMCからの起動を禁止した場合を除きます