オプション品

本章では、Armadillo-610のオプション品について説明します。

表18.1 Armadillo-610関連のオプション品

名称型番備考

Armadillo-610 拡張ボード

Armadillo-610開発セットに同梱

USBシリアル変換アダプタ

SA-SCUSB-00

Armadillo-610開発セットに同梱

LCDオプションセット(7インチタッチパネルWVGA液晶)

OP-LCD70EXT-L00

7インチタッチパネルWVGA液晶が付属

Armadillo-400シリーズLCDオプションセット

OP-A400-LCD43EXT-L01

4.3インチタッチパネルWQVGA液晶が付属

Armadillo-WLAN(AWL13)

AWL13-U00Z

無線LAN用外付けアンテナセット01

OP-ANT-WLAN-01W


[警告]

Armadillo-WLAN(AWL13)、無線LAN用外付けアンテナセット01の詳細につきましては、 「Armadillo-WLAN製品ページ」をご参照ください。

18.1. USBシリアル変換アダプタ

18.1.1. 概要

FT232RLを搭載したUSB-シリアル変換アダプタです。シリアルの信号レベルは3.3V CMOSです。

images/usb2seri-qi.svg

図18.1 USBシリアル変換アダプタの配線


images/common-images/callouts/1.svg
オープン
images/common-images/callouts/2.svg
GNDショート

シリアルインターフェース(Armadillo-610拡張ボード: CON3)に接続した場合、 USBシリアル変換アダプタのスイッチで、電源投入時の起動モードを設定することが可能です。 スライドスイッチの状態に対応した起動モードは以下のとおりです。

表18.2 USBシリアル変換アダプタのスライドスイッチによる起動モードの設定

スライドスイッチ 起動モード

オープン

オートブートモード

GNDショート

保守モード


[警告]

USBシリアル変換アダプタは、Armadillo-610の電源を切断した状態で接続してください。 故障の原因となる可能性があります。

[警告]

USBシリアル変換アダプタは、試作・開発用の製品です。外観や仕様を予告なく変更する場合がありますので、ご了承ください。

18.2. Armadillo-610 拡張ボード

18.2.1. 概要

Armadillo-610 拡張ボードはArmadillo-610を搭載する拡張ボードを設計開発するためのリファレンスボードです。 電源、LAN、無線LAN [17]、USB、SD、LCD [17]、RS485、オーディオ、絶縁デジタル入出力、リアルタイムクロック、スイッチ、LED等の動作を確認することが可能です。 Armadillo-610 拡張ボードはArmadillo-610 開発セットに同梱されます。

[ティップ]

Armadillo-610 拡張ボードの回路図、部品表は購入者向けの限定データとしてlink:「アットマークテクノ Armadilloサイト」からダウンロード可能です。

[注記]

Armadillo-610 拡張ボードはArmadillo-610がないと機能しない製品ですので、 Armadillo-610を搭載した状態での仕様を説明します。

18.2.2. 仕様

Armadillo-610 拡張ボードの主な仕様は次のとおりです。

表18.3 Armadillo-610 拡張ボードの仕様

LAN(Ethernet)

100BASE-TX/10BASE-T x 1、AUTO-MDIX対応

無線LAN

Armadillo-WLAN(AWL13)搭載可能 [a]

シリアル(UART)

3.3V CMOSレベル x 1、RS485 x 1

USB

USB 2.0 Host(High Speed) x 2、USB 2.0 OTG(High Speed) x 1

SD

SDスロット x 1 [b]

カレンダ時計

リアルタイムクロック搭載、バックアップ用コネクタ搭載 [c]

オーディオ

モノラルスピーカー出力 x 1

ビデオ

LCDオプションセット(7インチタッチパネルWVGA液晶)接続可能 [d]

接点入出力

入力 x 2、出力 x 2

Groveインターフェース

Groveコネクタ x 4 [e]

UART x 1、I2C x 1、A/D x 2

拡張インターフェース

UART、SD、LCD、I2S、S/PDIF、MQS、I2C、SPI、CAN、A/D、PWM、GPIO等 [f]

スイッチ

ユーザースイッチ x 1、 リセットスイッチ x 1、パワースイッチ x 1

LED

ユーザーLED x 1

電源電圧

DC 9〜24V±10%(メイン電源)、DC 2.0~3.5V(RTCバックアップ)、DC 2.75~3.3V(i.MX6ULL内蔵RTCバックアップ)

消費電力

約1.2W(待機時)、約1.8W(LAN通信時) [g]

使用温度範囲

+10~+40℃(結露なきこと)

外形サイズ

115 x 160 mm(突起部を除く)

[a] Armadillo-610 拡張ボードにArmadillo-WLAN(AWL13)は付属しません。

[b] Armadillo-610上のmicroSDスロットと排他利用となります。

[c] 電池は付属しません。

[d] Armadillo-610 拡張ボードにLCDオプションセット(7インチタッチパネルWVGA液晶)は付属しません。

[e] LCDインターフェースと排他利用となります。

[f] シリアル、SDスロット、オーディオ、LCD等のインターフェースと排他利用となります。

[g] 電源電圧DC 12V時の消費電力です。外部接続機器の消費分は含みません。


[警告]

Armadillo-610 開発ボードは設計開発用のリファレンスボードです。 仕様や外観を予告なく変更する場合があります。

18.2.3. ブロック図

Armadillo-610 拡張ボードのブロック図は次のとおりです。

images/a610ext-block.svg

図18.2 Armadillo-610 拡張ボードのブロック図


Armadillo-610 拡張ボードの電源回路の構成は次のとおりです。

images/a610ext-block-power.svg

図18.3 Armadillo-610 拡張ボードの電源回路の構成


18.2.4. インターフェース仕様

Armadillo-610 拡張ボードのインターフェース仕様について説明します。

images/a610ext-interface.svg

図18.4 Armadillo-610 拡張ボードのインターフェース


表18.4 Armadillo-610 拡張ボードのインターフェース一覧 [18]

部品番号 インターフェース名 型番 メーカー

CON1

SDインターフェース

CIM-K03NS

MITSUMI ELECTRIC

CON2

LANインターフェース

TM11R-5M2-88-LP

HIROSE ELECTRIC

CON3

シリアルインターフェース

DF13A-7P-1.25H(51)

HIROSE ELECTRIC

CON4

Armadillo-610インターフェース

DF40HC(3.0)-100DS-0.4V(51)

HIROSE ELECTRIC

CON5

USBホストインターフェース

UBA-4RS-D14T-4D(LF)(SN)

J.S.T. Mfg.

CON6

USB OTGインターフェース

UB-MC5ABR3-SD204-4S-1

J.S.T. Mfg.

CON7

Groveインターフェース

1125R-4P

Shenzhen NS-TECH Co.,Ltd

CON8

1125R-4P

Shenzhen NS-TECH Co.,Ltd

CON9

1125R-4P

Shenzhen NS-TECH Co.,Ltd

CON10

1125R-4P

Shenzhen NS-TECH Co.,Ltd

CON11

LCDインターフェース

XF2M-5015-1A

OMRON

CON12

電源入力インターフェース

PJ-102AH

CUI

CON13A

電源出力インターフェース

TBL002A-350-14GY-2GY

CUI

CON13B

DIDOインターフェース

CON13C

RS485インターフェース

CON13D

オーディオインターフェース

CON14

電源出力インターフェース

A2-2PA-2.54DSA(71)

HIROSE ELECTRIC

CON15

RTCバックアップインターフェース

CH7410-2032LF

TAKACHI

CON16

B2B-EH(LF)(SN)

J.S.T. Mfg.

CON17

内蔵RTCバックアップインターフェース

B2B-EH(LF)(SN)

J.S.T. Mfg.

CON18

WLANインターフェース

AXK6F34347YG

Panasonic

CON19

拡張インターフェース

XG4C-1031

OMRON

CON20

XG4C-4031

OMRON

CON21

XG4C-1031

OMRON

CON22

XG4C-1031

OMRON

CON23

リセットインターフェース

A2-2PA-2.54DSA(71)

HIROSE ELECTRIC

CON24

電源入力インターフェース

A2-6PA-2.54DSA(71)

HIROSE ELECTRIC

JP1

起動デバイス設定ジャンパ

A2-2PA-2.54DSA(71)

HIROSE ELECTRIC

SW1

ユーザースイッチ

SKHLACA010

ALPS ELECTRIC

SW2

リセットスイッチ

SKHLACA010

ALPS ELECTRIC

SW3

ONOFFスイッチ

SKHLACA010

ALPS ELECTRIC

LED1

LANスピードLED

SML-310MTT86

ROHM

LED2

LAN リンクアクティビティ LED

SML-310YTT86

ROHM

LED3

ユーザー LED

SML-310MTT86

ROHM

TH7

Armadillo-610用スタッド

TH-1.6-3.0-M3

Mac-Eight

TH8

TH-1.6-3.0-M3

Mac-Eight

TH13

WLANモジュール用スタッド

TH-1.6-1.5-M2

Mac-Eight

TH14

TH-1.6-1.5-M2

Mac-Eight

[18] 部品の実装、未実装を問わず、搭載可能な部品型番を記載しています。


[警告]

表18.4「Armadillo-610 拡張ボードのインターフェース一覧 」には搭載可能な代表型番を記載しており、実際に搭載されている型番と違うことがあります。

18.2.4.1. CON1 (SDインターフェース)

CON1はハイスピード(最大クロック周波数: 49.5MHz)に対応したSDインターフェースです。 信号線はi.MX6ULLのSDホストコントローラ(uSDHC2)に接続されます。

SDカードに供給される電源はi.MX6ULLのUART2_RTS_Bピン(GPIO1_IO23)で制御が可能です。 Highレベル出力で電源が供給され、Lowレベル出力で電源が切断されます。

[警告]

SDコントローラ(uSDHC2)はSDインターフェース(Armadillo-610: CON1)でも使用しており、 同時に使用することはできません。 こちらのSDを有効にした場合、SDインターフェース(Armadillo-610: CON1)はブート時のみ利用され、ブート以降はこちらが利用されます。

images/a610ext-con1.svg

図18.5 Armadillo-610 拡張ボード CON1


表18.5 CON1 信号配列

ピン番号 ピン名 I/O 説明

1

CD/DAT3

In/Out

SDデータバス(bit3)、i.MX6ULLのLCD_DATA23ピンに接続

2

CMD

In/Out

SDコマンド/レスポンス、i.MX6ULLのLCD_DATA18ピンに接続

3

VSS

Power

電源(GND)

4

VDD

Power

電源(+3.3V)

5

CLK

Out

SDクロック、i.MX6ULLのLCD_DATA19ピンに接続

6

VSS

Power

電源(GND)

7

DAT0

In/Out

SDデータバス(bit0)、i.MX6ULLのLCD_DATA20ピンに接続

8

DAT1

In/Out

SDデータバス(bit1)、i.MX6ULLのLCD_DATA21ピンに接続

9

DAT2

In/Out

SDデータバス(bit2)、i.MX6ULLのLCD_DATA22ピンに接続

10

CD1

In

カード検出、i.MX6ULLのUART3_RTS_Bピンに接続 (Low: カード挿入、High: カード未挿入)

11

CD2

12

WP1

Power

電源(GND)

13

14

WP2

In

ライトプロテクト検出、i.MX6ULLのUART3_CTS_Bピンに接続 (Low: 書き込み可能、High: 書き込み不可能)

15

GND

Power

電源(GND)

16

17

18

19


18.2.4.2. CON2(LANインターフェース)

CON2は10BASE-T/100BASE-TXに対応したLANインターフェースです。 カテゴリ5以上のEthernetケーブルを接続することができます。 AUTO-MDIX機能を搭載しており、ストレートケーブルまたはクロスケーブルを自動認識して送受信端子を切り替えます。

信号線はEthernet PHY(LAN8720AI-CP/Microchip Technology)を経由してi.MX6ULLのEthernetコントローラ(ENET1)に接続されます。

images/a610ext-con2.svg

図18.6 Armadillo-610 拡張ボード CON2


表18.6 CON2 信号配列

ピン番号 ピン名 I/O 説明

1

TX+

In/Out

送信データ(+)

2

TX-

In/Out

送信データ(-)

3

RX+

In/Out

受信データ(+)

4

CON2の5ピンと接続後に75Ω終端

5

CON2の4ピンと接続後に75Ω終端

6

RX-

In/Out

受信データ(-)

7

CON2の8ピンと接続後に75Ω終端

8

CON2の7ピンと接続後に75Ω終端


18.2.4.3. CON3(シリアルインターフェース)

CON3は非同期(調歩同期)シリアルインターフェースです。 信号はi.MX6ULLのUARTコントローラ(UART1)に接続されます。 CON3の6ピンはi.MX6ULLのUART2_CTS_Bピン(GPIO1_IO22)に接続されており、Lowレベル入力で保守モード、 Highレベル入力でオートブートモードで起動します。

[警告]

シリアルインターフェース(Armadillo-610 拡張ボード: CON3)にUSBシリアル変換アダプタを接続する際は、 ケーブルの根本を軽く握り、指先でコネクタを押すようにして挿入してください。 取り外しの際は、全ケーブルが均等に引きぬかれるようにケーブルをつかみ、引き抜いてください。 また、両コネクタを水平にして挿入・抜去してください。 30°以上傾けた状態での斜め挿入・抜去は、端子変形、ケース破損の原因となります。

images/usb-serial-insert-caution.svg

図18.7 USBシリアル変換アダプタの挿抜角度


images/a610ext-con3.svg

図18.8 Armadillo-610 拡張ボード CON3


表18.7 CON3 信号配列

ピン番号 ピン名 I/O 説明

1

UART_RXD

In

受信データ、i.MX6ULLのUART1_RX_DATAピンに接続

2

GND

Power

電源(GND)

3

UART_TXD

Out

送信データ、i.MX6ULLのUART1_TX_DATAピンに接続

4

+3.3V_IO

Power

電源(+3.3V_IO)

5

UART_CTS

In

送信可能、CON3の7ピンと接続

6

BOOTLOADER_EN_B

In

起動モード設定、i.MX6ULLのUART2_CTS_Bピンに接続 (Low: 保守モード、High: オートブートモード)

7

UART_RTS

Out

送信要求、CON3の5ピンと接続


18.2.4.4. CON4(Armadillo-610インターフェース)

CON4はArmadillo-610と接続するためのインターフェースです。

images/a610ext-con4.svg

図18.9 Armadillo-610 拡張ボード CON4


表18.8 CON4 信号配列

ピン番号 ピン名 I/O 説明

1

USB_OTG1_DP

In/Out

USB1のプラス側信号、CON6の3ピンに接続

2

USB_OTG1_DN

In/Out

USB1のマイナス側信号、CON6の2ピンに接続

3

GND

Power

電源(GND)

4

USB_OTG2_DN

In/Out

USB2のマイナス側信号、USB HUBのUSBUP_DMピンに接続

5

USB_OTG2_DP

In/Out

USB2のプラス側信号、USB HUBのUSBUP_DPピンに接続

6

GND

Power

電源(GND)

7

USB_OTG1_VBUS

Power

電源(USB_OTG1_VBUS)、CON6の1ピンに接続

8

USB_OTG2_VBUS

Power

電源(USB_OTG2_VBUS)、CON5の1ピンと5ピンに接続

9

SPEEDLED

In

LANスピードLED用信号、Ethernet PHYのLED2ピンに接続

10

LINK_ACTLED

In

LANリンクアクティビティLED用信号、Ethernet PHYのLED1ピンに接続

11

USB1_PWREN

In

USB1用パワースイッチ切り替え信号、パワースイッチのイネーブルピンに接続 (High: 電源供給、Low: 電源切断)

12

USB2_PWREN

In

USB2用パワースイッチ切り替え信号、パワースイッチのイネーブルピンに接続 (High: 電源供給、Low: 電源切断)

13

RTC_INT_B

Out

リアルタイムクロック割り込み信号、リアルタイムクロックの割り込みピンに接続

14

ADC_IN4

In/Out

拡張入出力、CON11の34ピン、CON20の37ピン、CON10の1ピンに接続

15

ADC_IN3

In/Out

拡張入出力、CON11の35ピン、CON20の38ピン、CON9の1ピンに接続

16

ADC_IN2

In/Out

拡張入出力、CON11の36ピン、CON20の39ピン、CON9の2ピンに接続

17

ADC_IN1

In/Out

拡張入出力、CON11の37ピン、CON20の40ピン、CON10の2ピンに接続

18

LCD_DATA00

In/Out

拡張入出力、CON11の13ピン、CON20の13ピンに接続

19

LCD_DATA01

In/Out

拡張入出力、CON11の14ピン、CON20の14ピンに接続

20

LCD_DATA02

In/Out

拡張入出力、CON11の15ピン、CON20の15ピンに接続

21

LCD_DATA03

In/Out

拡張入出力、CON11の16ピン、CON20の16ピンに接続

22

LCD_DATA04

In/Out

拡張入出力、CON11の17ピン、CON20の17ピンに接続

23

LCD_DATA05

In/Out

拡張入出力、CON11の18ピン、CON20の18ピンに接続

24

LCD_DATA06

In/Out

拡張入出力、CON11の20ピン、CON20の20ピンに接続

25

LCD_DATA07

In/Out

拡張入出力、CON11の21ピン、CON20の21ピンに接続

26

LCD_DATA08

In/Out

拡張入出力、CON11の22ピン、CON20の22ピンに接続

27

LCD_DATA09

In/Out

拡張入出力、CON11の23ピン、CON20の23ピンに接続

28

LCD_DATA10

In/Out

拡張入出力、CON11の24ピン、CON20の24ピンに接続

29

LCD_DATA11

In/Out

拡張入出力、CON11の25ピン、CON20の25ピンに接続

30

LCD_DATA12

In/Out

拡張入出力、CON11の27ピン、CON20の27ピンに接続

31

LCD_DATA13

In/Out

拡張入出力、CON11の28ピン、CON20の28ピンに接続

32

LCD_DATA14

In/Out

拡張入出力、CON11の29ピン、CON20の29ピンに接続

33

LCD_DATA15

In/Out

拡張入出力、CON11の30ピン、CON20の30ピンに接続

34

LCD_DATA16

In/Out

拡張入出力、CON11の31ピン、CON20の31ピンに接続

35

LCD_DATA17

In/Out

拡張入出力、CON11の32ピン、CON20の32ピンに接続

36

GND

Power

電源(GND)

37

LCD_CLK

In/Out

拡張入出力、CON11の8ピン、CON20の7ピンに接続

38

LCD_HSYNC

In/Out

拡張入出力、CON11の9ピン、CON20の8ピンに接続

39

LCD_VSYNC

In/Out

拡張入出力、CON11の10ピン、CON20の9ピンに接続

40

LCD_ENABLE

In/Out

拡張入出力、CON11の11ピン、CON20の10ピンに接続

41

PWM5_OUT

In/Out

拡張入出力、CON11の12ピン、CON20の11ピンに接続

42

BJP1

Out

起動デバイス設定用信号、JP1に接続

43

EXT_SW1

Out

ユーザースイッチ、SW1に接続

44

EXT_RESET_B

Out

システムリセット、CON23の1ピンに接続

45

+3.3V_IO

Power

電源(+3.3V_IO)

46

+3.3V_IO

Power

電源(+3.3V_IO)

47

VIN

Power

電源(VIN)

48

VIN

Power

電源(VIN)

49

VIN

Power

電源(VIN)

50

VIN

Power

電源(VIN)

51

GND

Power

電源(GND)

52

GND

Power

電源(GND)

53

+5V_IO

Power

電源(+5V_IO)

54

+5V_IO

Power

電源(+5V_IO)

55

I2C2_SDA

In/Out

I2Cデータ信号、CON11の49ピン、CON21の10ピン、CON8の2ピン、リアルタイムクロックのSDAピンに接続

56

I2C2_SCL

In

I2Cクロック信号、CON11の48ピン、CON21の9ピン、CON8の1ピン、リアルタイムクロックのSCLピンに接続

57

SAI1_TX_SYNC

In/Out

拡張入出力、CON11の47ピン、CON21の8ピンに接続

58

SAI1_TX_BCLK

In/Out

拡張入出力、CON11の46ピン、CON21の7ピンに接続

59

SAI1_RX_DATA

In/Out

拡張入出力、CON11の45ピン、CON21の6ピンに接続

60

SAI1_TX_DATA

In/Out

拡張入出力、CON11の44ピン、CON21の5ピンに接続

61

SAI1_RX_SYNC

In/Out

拡張入出力、CON11の43ピン、CON21の4ピンに接続

62

SAI1_MCLK

In/Out

拡張入出力、CON11の42ピン、CON21の3ピン、CON7の1ピンに接続

63

GPIO4_IO24

In/Out

拡張入出力、CON11の41ピン、CON20の36ピンに接続

64

GPIO4_IO21

In/Out

拡張入出力、CON11の40ピン、CON20の35ピンに接続

65

GPIO4_IO18

In/Out

拡張入出力、CON11の39ピン、CON20の34ピンに接続

66

RS485_DE

In

RS485送信イネーブル信号、RS485トランシーバのDEピン、CON22の6ピンに接続

67

RS485_RE_N

In

RS485受信イネーブル信号、RS485トランシーバのREピン、CON22の5ピンに接続

68

RS485_RX

Out

RS485受信データ、RS485トランシーバのROピン、CON22の4ピンに接続

69

RS485_TX

In

RS485送信データ、RS485トランシーバのDIピン、CON22の3ピンに接続

70

SD2_DATA3

In/Out

SDデータバス(bit3)、CON1の1ピンに接続

71

SD2_DATA2

In/Out

SDデータバス(bit2)、CON1の9ピンに接続

72

SD2_DATA1

In/Out

SDデータバス(bit1)、CON1の8ピンに接続

73

SD2_DATA0

In/Out

SDデータバス(bit0)、CON1の7ピンに接続

74

SD2_CLK

In

SDクロック、CON1の5ピンに接続

75

GND

Power

電源(GND)

76

SD2_CMD

In/Out

SDコマンド/レスポンス、CON1の2ピンに接続

77

PWRON

Out

パワーマネジメントICのPWRON信号、SW2に接続

78

ONOFF

Out

i.MX6ULLのON/OFF信号、SW3に接続

79

RTC_BAT

Power

電源(RTC_BAT)、CON17の1ピンに接続

80

EXT_LED1

In

LED3に接続(High: 点灯、Low: 消灯)

81

AMP_SD_B

In

オーディオアンプのシャットダウンピンに接続

(High: オーディオ開始、Low: オーディオ停止)

82

DO1

In

CON13のDO1制御ピンに接続

(High: DO1ショート、Low: DO1オープン)

83

DEBUG_UART_TX

In

送信データ、CON3の3ピンに接続

84

DO2

In

CON13のDO制御ピンに接続

(High: DO2ショート、Low: DO2オープン)

85

DEBUG_UART_RX

Out

受信データ、CON3の1ピンに接続

86

SD_PWREN

In

SD用パワースイッチ切り替え信号、パワースイッチのイネーブルピンに接続 (High: 電源供給、Low: 電源切断)

87

MAINT_EN_B

Out

起動モード設定、CON3の6ピンに接続

88

DI2

Out

DI2入力、CON13の4ピンに接続

89

DI1

Out

DI1入力、CON13の5ピンに接続

90

MQS

In

オーディオ入力、オーディオアンプに接続

91

SD2_WP

Out

ライトプロテクト検出、CON1の14ピン、CON19の10ピンに接続

92

SD2_CD_B

Out

カード検出、CON1の10ピン、CON19の9ピンに接続

93

WLAN_PWREN

In

WLAN用パワースイッチ切り替え信号、パワースイッチのイネーブルピンに接続 (High: 電源供給、Low: 電源切断)

94

USB1_OTG_ID

Out

CON6の4ピンに接続

95

GND

Power

電源(GND)

96

Ether_RXN

In/Out

Ethernet 送信/受信データ(-) CH2、Ethernetトランスに接続

97

Ether_RXP

In/Out

Ethernet 送信/受信データ(+) CH2、Ethernetトランスに接続

98

GND

Power

電源(GND)

99

Ether_TXN

In/Out

Ethernet 送信/受信データ(-) CH1、Ethernetトランスに接続

100

Ether_TXP

In/Out

Ethernet 送信/受信データ(+) CH1、Ethernetトランスに接続


18.2.4.5. CON5(USBホストインターフェース)

CON5はUSBホストインターフェースです。 2段のコネクタを実装しており、信号線はUSB HUBを経由してi.MX6ULLのUSBコントローラ(USB OTG2)に接続されます。

供給される電源はi.MX6ULLのCSI_MCLKピン(GPIO4_IO17)で制御が可能で、 Highレベル出力で電源が供給され、Lowレベル出力で電源が切断されます。

  • データ転送モード

    • High Speed(480Mbps)
    • Full Speed(12Mbps)
    • Low Speed(1.5Mbps)
images/a610ext-con5.svg

図18.10 Armadillo-610 拡張ボード CON5


表18.9 CON5 信号配列

ピン番号 ピン名 I/O 説明

1

+5V

Power

電源(+5V)

2

USB_L_DN

In/Out

USB下段のマイナス側信号、USB HUB(Port2)を経由してi.MX6ULLのUSB_OTG2_DNピンに接続

3

USB_L_DP

In/Out

USB下段のプラス側信号、USB HUB(Port2)を経由してi.MX6ULLのUSB_OTG2_DPピンに接続

4

GND

Power

電源(GND)

5

+5V

Power

電源(+5V)

6

USB_U_DN

In/Out

USB上段のマイナス側信号、USB HUB(Port3)を経由してi.MX6ULLのUSB_OTG2_DNピンに接続

7

USB_U_DP

In/Out

USB上段のプラス側信号、USB HUB(Port3)を経由してi.MX6ULLのUSB_OTG2_DPピンに接続

8

GND

Power

電源(GND)


18.2.4.6. CON6(USB OTGインターフェース)

CON6はUSB OTGインターフェースです。 信号線はi.MX6ULLのUSBコントローラ(USB OTG1)に接続されます。

供給される電源はi.MX6ULLのUART1_RTS_Bピン(GPIO1_IO19)およびCON6の4ピン(USB_ID)により制御が可能です。 i.MX6ULLののUART1_RTS_Bピン(GPIO1_IO19)からHighレベル出力かつCON6の4ピン(USB_ID)からLowレベル入力で電源が供給され、CON6の4ピン(USB_ID)がオープンで電源切断されます。

  • データ転送モード

    • High Speed(480Mbps)
    • Full Speed(12Mbps)
    • Low Speed(1.5Mbps)
images/a610ext-con6.svg

図18.11 Armadillo-610 拡張ボード CON6


表18.10 CON6 信号配列

ピン番号 ピン名 I/O 説明

1

+5V

Power

電源(+5V_IO)

2

USB_DN

In/Out

USBのマイナス側信号、USB HUBを経由してi.MX6ULLのUSB_OTG1_DNピンに接続

3

USB_DP

In/Out

USBのプラス側信号、USB HUBを経由してi.MX6ULLのUSB_OTG1_DPピンに接続

4

USB_ID

In

USBのID信号、i.MX6ULLのGPIO1_IO24ピンに接続

5

GND

Power

電源(GND)


18.2.4.7. CON7、CON8、CON9、CON10(Groveインターフェース)

CON7、CON8、CON9、CON10はSeeed社が推奨するコネクタ規格「Groveシステム」に対応した Groveモジュール接続用のインターフェースです。

マルチプレクスの設定で機能を割り当てることで、GPIO、UART、I2C、A/Dで拡張する Groveモジュールを接続することができます。

[警告]

CON11(LCDインターフェース)、CON20(拡張インターフェース)、CON21(拡張インターフェース)と 共通の信号線が接続されているため、同時に使用できません。 また、CON8のI2C信号は基板上のリアルタイムクロックにも接続されておりますので、 マルチプレクスの設定を変更する際には、ご注意ください。

images/a610ext-grove.svg

図18.12 Armadillo-610 拡張ボード CON7、CON8、CON9、CON10


表18.11 CON7 信号配列

ピン番号 ピン名 I/O 説明

1

UART5_RX

I/O

拡張入出力、i.MX6ULLのCSI_DATA01ピンに接続

2

UART5_TX

I/O

拡張入出力、i.MX6ULLのCSI_DATA00ピンに接続

3

+3.3V_IO

Power

電源(+3.3V_IO)

4

GND

Power

電源(GND)


表18.12 CON8 信号配列

ピン番号 ピン名 I/O 説明

1

I2C2_CLK

I/O

拡張入出力、i.MX6ULLのCSI_HSYNCピンに接続

2

I2C2_SDA

I/O

拡張入出力、i.MX6ULLのCSI_VSYNCピンに接続

3

+3.3V_IO

Power

電源(+3.3V_IO)

4

GND

Power

電源(GND)


表18.13 CON9 信号配列

ピン番号 ピン名 I/O 説明

1

ADC_IN3

I/O

拡張入出力、i.MX6ULLのGPIO1_IO03ピンに接続

2

ADC_IN2

I/O

拡張入出力、i.MX6ULLのGPIO1_IO02ピンに接続

3

+3.3V_IO

Power

電源(+3.3V_IO)

4

GND

Power

電源(GND)


表18.14 CON10 信号配列

ピン番号 ピン名 I/O 説明

1

ADC_IN4

I/O

拡張入出力、i.MX6ULLのGPIO1_IO04ピンに接続

2

ADC_IN1

I/O

拡張入出力、i.MX6ULLのGPIO1_IO01ピンに接続

3

+3.3V_IO

Power

電源(+3.3V_IO)

4

GND

Power

電源(GND)


18.2.4.8. CON11(LCDインターフェース)

CON11はデジタルRGB入力を持つ液晶パネルモジュールなどを接続することができる、LCDインターフェースです。 信号線はi.MX6ULLのLCDインターフェース(eLCDIF)等に接続されます。

LCDオプションセット(7インチタッチパネルWVGA液晶)(型番: OP-LCD70EXT-00)、 Armadillo-400シリーズLCDオプションセット(4.3インチタッチパネルWQVGA液晶)(型番: OP-A400-LCD43EXT-L01)を 接続可能です。

オプションセットの詳細につきましては「LCDオプションセット(7インチタッチパネルWVGA液晶)」「Armadillo-400シリーズLCDオプションセット」をご確認ください。

[警告]

LCDオプションセット(7インチタッチパネルWVGA液晶)を使用する場合、 I2C2_SCL信号がバックライト用のPWM信号として使用されるため、 基板上のリアルタイムクロックが使用できなくなります。

[警告]

Armadillo-400シリーズLCDオプションセットを使用する場合、 LCDオプションセット側にもリアルタイムクロックが接続されており、 アドレスが被っているため、アクセスすると信号が衝突します。 リアルタイムクロックにアクセスしない、もしくはどちらかのリアルタイムクロックを切り離してご使用ください。 Armadillo-610 拡張ボード側はR146、R147(基板裏)の抵抗を未実装にすることでリアルタイムクロックを切り離すことが可能です。

[警告]

CON7、CON8、CON9、CON10(Groveインターフェース)、CON20(拡張インターフェース)、 CON21(拡張インターフェース)と共通の信号線が接続されているため、同時に使用できません。 また、48、49ピンの信号線は基板上のリアルタイムクロックにも接続されており、 I2Cで使用しておりますので、マルチプレクスの設定を変更する際には、ご注意ください。

images/a610ext-con11.svg

図18.13 Armadillo-610 拡張ボード CON11


表18.15 CON11 信号配列

ピン番号 ピン名 I/O 説明

1

+5V

Power

電源出力(+5V)

2

+5V

Power

電源出力(+5V)

3

+5V

Power

電源出力(+5V)

4

+3.3V

Power

電源出力(+3.3V)

5

+3.3V

Power

電源出力(+3.3V)

6

GND

Power

電源(GND)

7

GND

Power

電源(GND)

8

LCD_CLK

In/Out

拡張入出力、i.MX6ULLのLCD_CLKピンに接続

9

LCD_HSYNC

In/Out

拡張入出力、i.MX6ULLのLCD_HSYNCピンに接続

10

LCD_VSYNC

In/Out

拡張入出力、i.MX6ULLのLCD_VSYNCピンに接続

11

LCD_ENABLE

In/Out

拡張入出力、i.MX6ULLのLCD_ENABLEピンに接続

12

PWM5_OUT

In/Out

拡張入出力、i.MX6ULLのNAND_DQSピンに接続

13

LCD_DATA00

In/Out

拡張入出力、i.MX6ULLのLCD_DATA00ピンに接続

14

LCD_DATA01

In/Out

拡張入出力、i.MX6ULLのLCD_DATA01ピンに接続

15

LCD_DATA02

In/Out

拡張入出力、i.MX6ULLのLCD_DATA02ピンに接続

16

LCD_DATA03

In/Out

拡張入出力、i.MX6ULLのLCD_DATA03ピンに接続

17

LCD_DATA04

In/Out

拡張入出力、i.MX6ULLのLCD_DATA04ピンに接続

18

LCD_DATA05

In/Out

拡張入出力、i.MX6ULLのLCD_DATA05ピンに接続

19

GND

Power

電源(GND)

20

LCD_DATA06

In/Out

拡張入出力、i.MX6ULLのLCD_DATA06ピンに接続

21

LCD_DATA07

In/Out

拡張入出力、i.MX6ULLのLCD_DATA07ピンに接続

22

LCD_DATA08

In/Out

拡張入出力、i.MX6ULLのLCD_DATA08ピンに接続

23

LCD_DATA09

In/Out

拡張入出力、i.MX6ULLのLCD_DATA09ピンに接続

24

LCD_DATA10

In/Out

拡張入出力、i.MX6ULLのLCD_DATA10ピンに接続

25

LCD_DATA11

In/Out

拡張入出力、i.MX6ULLのLCD_DATA11ピンに接続

26

GND

Power

電源(GND)

27

LCD_DATA12

In/Out

拡張入出力、i.MX6ULLのLCD_DATA12ピンに接続

28

LCD_DATA13

In/Out

拡張入出力、i.MX6ULLのLCD_DATA13ピンに接続

29

LCD_DATA14

In/Out

拡張入出力、i.MX6ULLのLCD_DATA14ピンに接続

30

LCD_DATA15

In/Out

拡張入出力、i.MX6ULLのLCD_DATA15ピンに接続

31

LCD_DATA16

In/Out

拡張入出力、i.MX6ULLのLCD_DATA16ピンに接続

32

LCD_DATA17

In/Out

拡張入出力、i.MX6ULLのLCD_DATA17ピンに接続

33

GND

Power

電源(GND)

34

ADC_IN4

In/Out

拡張入出力、i.MX6ULLのGPIO1_IO04ピンに接続、0.01uFのコンデンサが接続されています。

35

ADC_IN3

In/Out

拡張入出力、i.MX6ULLのGPIO1_IO03ピンに接続、0.01uFのコンデンサが接続されています。

36

ADC_IN2

In/Out

拡張入出力、i.MX6ULLのGPIO1_IO02ピンに接続、0.01uFのコンデンサが接続されています。

37

ADC_IN1

In/Out

拡張入出力、i.MX6ULLのGPIO1_IO01ピンに接続、0.01uFのコンデンサが接続されています。

38

GND

Power

電源(GND)

39

GPIO4_IO18

In/Out

拡張入出力、i.MX6ULLのCSI_PIXCLKピンに接続

40

GPIO4_IO21

In/Out

拡張入出力、i.MX6ULLのCSI_DATA00ピンに接続

41

GPIO4_IO24

In/Out

拡張入出力、i.MX6ULLのCSI_DATA03ピンに接続

42

SAI1_MCLK

In/Out

拡張入出力、i.MX6ULLのCSI_DATA01ピンに接続

43

SAI1_RX_SYNC

In/Out

拡張入出力、i.MX6ULLのCSI_DATA02ピンに接続

44

SAI1_TX_DATA

In/Out

拡張入出力、i.MX6ULLのCSI_DATA07ピンに接続

45

SAI1_RX_DATA

In/Out

拡張入出力、i.MX6ULLのCSI_DATA06ピンに接続

46

SAI1_TX_BCLK

In/Out

拡張入出力、i.MX6ULLのCSI_DATA05ピンに接続

47

SAI1_TX_SYNC

In/Out

拡張入出力、i.MX6ULLのCSI_DATA04ピンに接続

48

I2C2_SCL

In/Out

拡張入出力、i.MX6ULLのCSI_HSYNCピンに接続

49

I2C2_SDA

In/Out

拡張入出力、i.MX6ULLのCSI_VSYNCピンに接続

50

GND

Power

電源(GND)


18.2.4.9. CON12(電源入力インターフェース)

CON12は電源供給用のインターフェースです。 DCジャックが実装されており、図18.14「ACアダプタの極性マーク」と同じ極性マークのあるACアダプタが使用できます。

images/common-images/center-plus.svg

図18.14 ACアダプタの極性マーク


[警告]

ACアダプタから電源を供給する際、DCプラグをArmadillo-610 拡張ボードのDCジャックに接続してから、ACプラグをコンセントに接続してください。 突入電流により、故障する可能性があります。

images/a610ext-con12.svg

図18.15 Armadillo-610 拡張ボード CON12


表18.16 CON12 信号配列

ピン番号 ピン名 I/O 説明

1

EXT_VIN

Power

電源(EXT_VIN)

2

GND

Power

電源(GND)

3

GND

Power

電源(GND)


18.2.4.10. CON13A(電源出力インターフェース)

CON13Aは電源出力用インターフェースです。 端子台が実装されています。CON12から入力した電源が出力されます。

[警告]

電源入力として使用することも可能ですが、 同時にCON12から電源供給しないようご注意ください。

images/terminal-con13a.svg

図18.16 CON13Aの配置


表18.17 CON13A 信号配列

ピン番号 ピン名 I/O 説明

1

EXT_VIN

Power

電源(EXT_VIN)

2

GND

Power

電源(GND)


18.2.4.11. CON13B(DIDOインターフェース)

CON13Bは絶縁デジタル入出力インターフェースです。

デジタル入力部はフォトカプラによる絶縁入力となっています。 入力部を駆動するためには外部に電源(定格電圧 DC 3.3~12V)が必要となります。

デジタル出力部はフォトリレーによる絶縁出力(無極性)となっています。 出力部を駆動するためには外部に電源が必要となります。 出力1点につき最大電流200mA(最大電圧 DC 48V)まで駆動可能です。

[ティップ]

動作確認時にはCON13A(電源出力インターフェース)から電源を取るのが便利です。

images/terminal-con13b.svg

図18.17 CON13Bの配置


表18.18 CON13B 信号配列

ピン番号 ピン名 I/O 説明

3

GND_ISO

Power

電源(GND_ISO)

4

DI1

In

デジタル入力1

5

DI2

In

デジタル入力2

6

DO1A

デジタル出力1A

7

DO1B

デジタル出力1B

8

DO2A

デジタル出力2A

9

DO2B

デジタル出力2B


[注記]

CON13の3ピンのGND_ISOは絶縁されています。

18.2.4.12. CON13C(RS485インターフェース)

CON13CはRS485(半二重)のシリアルインターフェースです。 信号線はRS485トランシーバを経由して、i.MX6ULLのUARTコントローラ(UART2)に接続されています。

images/terminal-con13c.svg

図18.18 CON13Cの配置


表18.19 CON13C 信号配列

ピン番号 ピン名 I/O 説明

10

DATA-

In/Out

送受信データ(-)

11

DATA+

In/Out

送受信データ(+)

12

GND

Power

電源(GND)


18.2.4.13. CON13D(オーディオインターフェース)

CON13Dはモノラルのオーディオ出力インターフェースです。 1.4Wオーディオアンプを経由してi.MX6ULLのMedium Quality Sounc(MQS)に接続されています。 8Ωスピーカーが接続可能です。

[注記]

Armadillo-610 開発セットに付属しているのは、8Ωスピーカーです。

images/terminal-con13d.svg

図18.19 CON13Dの配置


表18.20 CON13D 信号配列

ピン番号 ピン名 I/O 説明

13

SPEAKER+

Out

スピーカー出力(+)

14

SPEAKER-

Out

スピーカー出力(-)


18.2.4.14. CON14(電源出力インターフェース)

CON14は電源出力インターフェースです。 Armadillo-610で生成する+5V_IOが接続されています。

images/a610ext-con14.svg

図18.20 Armadillo-610 拡張ボード CON14


表18.21 CON14 信号配列

ピン番号 ピン名 I/O 説明

1

+5V_IO

Power

電源(+5V_IO)

2

GND

Power

電源(GND)


18.2.4.15. CON15、CON16(RTCバックアップインターフェース)

CON15、CON16はArmadillo-610 拡張ボードに搭載している リアルタイムクロックのバックアップ用インターフェースです。

別途バックアップ用の電源を接続することで、Armadillo-610の電源(VIN)が切断された場合でも、 時刻データを保持することが可能です。

Armadillo-610 拡張ボードに搭載しているリアルタイムクロックは、i.MX6ULL内蔵のリアルタイムクロックよりも消費電力が少なく、精度が良いものとなっております。

images/a610ext-rtc.svg

図18.21 Armadillo-610 拡張ボード CON15、CON16


表18.22 CON16 信号配列

ピン番号 ピン名 I/O 説明

1

EXT_RTC_BAT

Power

電源(EXT_RTC_BAT)

2

GND

Power

電源(GND)


表18.23 CON15、CON16 対応電池の例とバックアップ時間

対応電池 バックアップ時間(参考値)

CR2032

6.2年


[警告]

CON15、CON16は共通の端子に接続されており、同時に使用できません。

[警告]

リアルタイムクロックの平均月差は周囲温度25℃で±8秒程度(参考値)です。 時間精度は周囲温度に大きく影響を受けますので、 ご使用の際は十分に特性の確認をお願いします。

[警告]

CON15、CON16はリチウムコイン電池からの電源供給を想定しています。 リチウムコイン電池以外から電源を供給する場合、 回路図、部品表にて搭載部品をご確認の上、絶対定格値を超えない範囲でご使用ください。

18.2.4.16. CON17(内蔵RTCバックアップインターフェース)

CON17はi.MX6ULLの低消費電力ドメインにあるSRTC(Secure Real Time Clock)のデータ等を保持するための バックアップ用インターフェースです。

別途バックアップ用の電源を接続することで、Armadillo-610の電源(VIN)が切断された場合でも、 データを保持することが可能です。

images/a610ext-con17.svg

図18.22 Armadillo-610 拡張ボード CON17


表18.24 CON17 信号配列

ピン番号 ピン名 I/O 説明

1

RTC_BAT

Power

電源(RTC_BAT)、Armadillo-610のパワーマネジメントICのLICELLピンに接続

2

GND

Power

電源(GND)


表18.25 CON17 対応電池の例とバックアップ時間

対応電池 バックアップ時間(参考値)

CR2032

約4か月 [a]

[a] 内蔵リアルタイムクロックは、一般的なリアルタイムクロックICよりも消費電力が高いため、外付けバッテリの消耗が速くなります。


images/imx6ull-rtc-backup.svg

図18.23 バックアップ電源供給回路


[警告]

低消費電力モードに速やかに移行するためには、バックアップ電源(RTC_BAT)を供給した直後に一度、 Armadillo-610への供給電源(VIN)を100ミリ秒以上供給する必要があります。

[警告]

RTC_BATの入力電圧範囲は2.75〜3.3Vです。内部デバイスが正常に動作しなくなる可能性がありますので、 入力電圧範囲内でご使用ください。

[警告]

内蔵リアルタイムクロックの平均月差は周囲温度25℃で±70秒程度(参考値)です。 時間精度は周囲温度に大きく影響を受けますので、 ご使用の際は十分に特性の確認をお願いします。

18.2.4.17. CON18(WLANインターフェース)

CON18はArmadillo-WLANモジュール(AWL13)接続用のインターフェースです。Armadillo-WLAN(AWL13)はUSB起動モードに設定されます。

[ティップ]

Armadillo-WLANモジュール(AWL13)の仕様については、Armadilloサイトで公開しているArmadillo-WLAN(AWL13)の各種ドキュメントをご確認ください。

images/a610ext-con18.svg

図18.24 Armadillo-610 拡張ボード CON18


表18.26 CON18 信号配列

ピン番号 ピン名 I/O 説明

1

SDDATA1

-

未接続

2

SDDATA0

-

未接続

3

GND

Power

電源(GND)

4

GND

Power

電源(GND)

5

USB_DM

In/Out

USBマイナス側信号

6

USB_DP

In/Out

USBプラス側信号

7

SDCLK

-

未接続

8

+3.3V

Power

電源(+3.3V)

9

NC

-

未接続

10

SDCMD

-

未接続

11

SDDATA3

-

未接続

12

SDDATA2

-

未接続

13

UART_RXD

-

未接続

14

UART_TXD

-

未接続

15

BOOT_SEL1

Out

起動モード設定、USB起動モードに設定

16

BOOT_SEL0

Out

17

HOST_SEL

Out

18

FLASH_RXD

-

未接続

19

FLASH_CSB

-

未接続

20

FLASH_CLK

-

未接続

21

FLASH_TXD

Out

47kΩプルダウン

22

FLASH_SEL

-

未接続

23

GPIO0

-

未接続

24

GPIO1

-

未接続

25

M_ANA

-

未接続

26

GPIO2

-

未接続

27

GPIO6

-

未接続

28

HRST

Out

+3.3Vに接続

29

PRST

-

未接続

30

TMS

-

未接続

31

TCK

-

未接続

32

TDI

-

未接続

33

TDO

-

未接続

34

TRSTB

-

未接続


18.2.4.18. CON19(拡張インターフェース)

CON19はSD用の機能を割り当て可能な信号線を接続した、 SD拡張用のインターフェースです。

images/a610ext-con19.svg

図18.25 Armadillo-610 拡張ボード CON19


[警告]

CON1(SDインターフェース)と共通の信号線が接続されているため、 同時に使用できません。 R78〜R83、R86、R87(CON1周辺、R79以外は基板裏)の抵抗を未実装にすることで、CON1(SDインターフェース)と切り離すことが可能です。

表18.27 CON19 信号配列

ピン番号 ピン名 I/O 説明

1

+3.3V_IO

Power

電源(+3.3V_IO)

2

GND

Power

電源(GND)

3

SD2_CLK

In/Out

拡張入出力、i.MX6ULLのLCD_DATA19ピンに接続

4

SD2_CMD

In/Out

拡張入出力、i.MX6ULLのLCD_DATA18ピンに接続

5

SD2_DATA0

In/Out

拡張入出力、i.MX6ULLのLCD_DATA20ピンに接続

6

SD2_DATA1

In/Out

拡張入出力、i.MX6ULLのLCD_DATA21ピンに接続

7

SD2_DATA2

In/Out

拡張入出力、i.MX6ULLのLCD_DATA22ピンに接続

8

SD2_DATA3

In/Out

拡張入出力、i.MX6ULLのLCD_DATA23ピンに接続

9

SD2_CD_B

In/Out

拡張入出力、i.MX6ULLのUART3_RTS_Bピンに接続

10

SD2_WP

In/Out

拡張入出力、i.MX6ULLのUART3_CTS_Bピンに接続


18.2.4.19. CON20(拡張インターフェース)

CON20は主にLCDやタッチパネル用の機能を割り当て可能な信号線を接続した、 LCD拡張用インターフェースです。

[警告]

CON7、CON9、CON10(Groveインターフェース)、CON11(LCDインターフェース)と 共通の信号線が接続されているため、同時に使用できません。

images/a610ext-con20.svg

図18.26 Armadillo-610 拡張ボード CON20


表18.28 CON20 信号配列

ピン番号 ピン名 I/O 説明

1

+5V

Power

電源出力(+5V)

2

+5V

Power

電源出力(+5V)

3

+3.3V

Power

電源出力(+3.3V)

4

+3.3V

Power

電源出力(+3.3V)

5

GND

Power

電源(GND)

6

GND

Power

電源(GND)

7

LCD_CLK

In/Out

拡張入出力、i.MX6ULLのLCD_CLKピンに接続

8

LCD_HSYNC

In/Out

拡張入出力、i.MX6ULLのLCD_HSYNCピンに接続

9

LCD_VSYNC

In/Out

拡張入出力、i.MX6ULLのLCD_VSYNCピンに接続

10

LCD_ENABLE

In/Out

拡張入出力、i.MX6ULLのLCD_ENABLEピンに接続

11

PWM5_OUT

In/Out

拡張入出力、i.MX6ULLのNAND_DQSピンに接続

12

GND

Power

電源(GND)

13

LCD_DATA00

In/Out

拡張入出力、i.MX6ULLのLCD_DATA00ピンに接続

14

LCD_DATA01

In/Out

拡張入出力、i.MX6ULLのLCD_DATA01ピンに接続

15

LCD_DATA02

In/Out

拡張入出力、i.MX6ULLのLCD_DATA02ピンに接続

16

LCD_DATA03

In/Out

拡張入出力、i.MX6ULLのLCD_DATA03ピンに接続

17

LCD_DATA04

In/Out

拡張入出力、i.MX6ULLのLCD_DATA04ピンに接続

18

LCD_DATA05

In/Out

拡張入出力、i.MX6ULLのLCD_DATA05ピンに接続

19

GND

Power

電源(GND)

20

LCD_DATA06

In/Out

拡張入出力、i.MX6ULLのLCD_DATA06ピンに接続

21

LCD_DATA07

In/Out

拡張入出力、i.MX6ULLのLCD_DATA07ピンに接続

22

LCD_DATA08

In/Out

拡張入出力、i.MX6ULLのLCD_DATA08ピンに接続

23

LCD_DATA09

In/Out

拡張入出力、i.MX6ULLのLCD_DATA09ピンに接続

24

LCD_DATA10

In/Out

拡張入出力、i.MX6ULLのLCD_DATA10ピンに接続

25

LCD_DATA11

In/Out

拡張入出力、i.MX6ULLのLCD_DATA11ピンに接続

26

GND

Power

電源(GND)

27

LCD_DATA12

In/Out

拡張入出力、i.MX6ULLのLCD_DATA12ピンに接続

28

LCD_DATA13

In/Out

拡張入出力、i.MX6ULLのLCD_DATA13ピンに接続

29

LCD_DATA14

In/Out

拡張入出力、i.MX6ULLのLCD_DATA14ピンに接続

30

LCD_DATA15

In/Out

拡張入出力、i.MX6ULLのLCD_DATA15ピンに接続

31

LCD_DATA16

In/Out

拡張入出力、i.MX6ULLのLCD_DATA16ピンに接続

32

LCD_DATA17

In/Out

拡張入出力、i.MX6ULLのLCD_DATA17ピンに接続

33

GND

Power

電源(GND)

34

GPIO4_IO18

In/Out

拡張入出力、i.MX6ULLのCSI_PIXCLKピンに接続

35

GPIO4_IO21

In/Out

拡張入出力、i.MX6ULLのCSI_DATA00ピンに接続

36

GPIO4_IO24

In/Out

拡張入出力、i.MX6ULLのCSI_DATA03ピンに接続

37

ADC_IN4

In/Out

拡張入出力、i.MX6ULLのGPIO1_IO04ピンに接続、0.01uFのコンデンサが接続されています。

38

ADC_IN3

In/Out

拡張入出力、i.MX6ULLのGPIO1_IO03ピンに接続、0.01uFのコンデンサが接続されています。

39

ADC_IN2

In/Out

拡張入出力、i.MX6ULLのGPIO1_IO02ピンに接続、0.01uFのコンデンサが接続されています。

40

ADC_IN1

In/Out

拡張入出力、i.MX6ULLのGPIO1_IO01ピンに接続、0.01uFのコンデンサが接続されています。


18.2.4.20. CON21(拡張インターフェース)

CON21は主にオーディオ用の機能を割り当て可能な信号線を接続した、 オーディオ拡張用インターフェースです。

[警告]

CON7(Groveインターフェース)、CON11(LCDインターフェース)と 共通の信号線が接続されているため、同時に使用できません。 また、9、10ピンの信号線は基板上のリアルタイムクロックにも接続されており、 I2Cで使用しておりますので、マルチプレクスの設定を変更する際には、ご注意ください。

images/a610ext-con21.svg

図18.27 Armadillo-610 拡張ボード CON21


表18.29 CON21 信号配列

ピン番号 ピン名 I/O 説明

1

+3.3V_IO

Power

電源出力(+3.3V_IO)

2

GND

Power

電源(GND)

3

SAI1_MCLK

In/Out

拡張入出力、i.MX6ULLのCSI_DATA01ピンに接続

4

SAI1_RX_SYNC

In/Out

拡張入出力、i.MX6ULLのCSI_DATA02ピンに接続

5

SAI1_TX_DATA

In/Out

拡張入出力、i.MX6ULLのCSI_DATA07ピンに接続

6

SAI1_RX_DATA

In/Out

拡張入出力、i.MX6ULLのCSI_DATA06ピンに接続

7

SAI1_TX_BCLK

In/Out

拡張入出力、i.MX6ULLのCSI_DATA05ピンに接続

8

SAI1_TX_SYNC

In/Out

拡張入出力、i.MX6ULLのCSI_DATA04ピンに接続

9

I2C2_SCL

In/Out

拡張入出力、i.MX6ULLのCSI_HSYNCピンに接続

10

I2C2_SDA

In/Out

拡張入出力、i.MX6ULLのCSI_VSYNCピンに接続


18.2.4.21. CON22(拡張インターフェース)

CON22はSPI、I2C、UART等に割り当て可能な信号線を接続した、拡張用インターフェースです。

[警告]

CON13B(DIDOインターフェース)、CON13C(RS485インターフェース)と共通の信号線が接続されているため、 同時に使用できません。 R93〜R96、R105、R108、R110、R112(CON13周辺)の抵抗を未実装にすることで、CON13と切り離すことが可能です。

images/a610ext-con22.svg

図18.28 Armadillo-610 拡張ボード CON22


表18.30 CON22 信号配列

ピン番号 ピン名 I/O 説明

1

+3.3V_IO

Power

電源出力(+3.3V_IO)

2

GND

Power

電源(GND)

3

RS485_TX

In/Out

拡張入出力、i.MX6ULLのNAND_DATA04ピンに接続

4

RS485_RX

In/Out

拡張入出力、i.MX6ULLのNAND_DATA05ピンに接続

5

RS485_RE_N

In/Out

拡張入出力、i.MX6ULLのNAND_DATA06ピンに接続

6

RS485_DE

In/Out

拡張入出力、i.MX6ULLのNAND_DATA07ピンに接続

7

DI1

In/Out

拡張入出力、i.MX6ULLのUART2_TX_DATAピンに接続

8

DI2

In/Out

拡張入出力、i.MX6ULLのUART2_RX_DATAピンに接続

9

DO1

In/Out

拡張入出力、i.MX6ULLのUART5_TX_DATAピンに接続

10

DO2

In/Out

拡張入出力、i.MX6ULLのUART5_RX_DATAピンに接続


18.2.4.22. CON23(リセットインターフェース)

CON23はリセット入出力用のインターフェースです。 EXT_RESET_NピンはArmadillo-610上のパワーマネジメントICのRESETBMCUピン、i.MX6ULLのPOR_Bピンに接続されています。

リセット回路の詳細につきましては、図15.3「リセット回路の構成」をご参照ください。

images/a610ext-con23.svg

図18.29 Armadillo-610 拡張ボード CON23


表18.31 CON23 信号配列

ピン番号 ピン名 I/O 説明

1

EXT_RESET_N

In/Out

リセット信号、パワーマネジメントICのRESETBMCUピン、i.MX6ULLのPOR_Bピンに接続

2

GND

Power

電源(GND)


18.2.4.23. CON24(電源入力インターフェース)

CON24は電源供給用のインターフェースです。 電源回路の詳細につきましては、図18.3「Armadillo-610 拡張ボードの電源回路の構成」をご参照ください。

[ティップ]

出荷時、CON24(電源入力インターフェース)から電源供給することはできません。 R155を実装、R156未実装にすることで、CON24の1ピンからArmadillo-610の電源(VIN)に 電源を供給することが可能になります。 回路図をご確認の上、絶対定格値を超えない範囲でご使用ください。 Armadillo-610の入力電圧範囲(VIN)は3.6〜4.5Vです。

images/a610ext-con24.svg

図18.30 Armadillo-610 拡張ボード CON24


表18.32 CON24 信号配列

ピン番号 ピン名 I/O 説明

1

BAT_IN

Power

電源(BAT_IN)、R155(出荷時未実装)を経由してパワーマネジメントICのVINピンに接続

2

GND

Power

電源(GND)

3

+5V

Power

電源(+5V)

4

GND

Power

電源(GND)

5

NC

-

未接続

6

NC

-

未接続


18.2.4.24. JP1(起動デバイス設定ジャンパ)

JP1は起動デバイス設定ジャンパです。 JP1の状態で、起動デバイスを設定することができます。

images/a610ext-jp1.svg

図18.31 Armadillo-610 拡張ボード JP1


表18.33 JP1 信号配列

部品番号 説明

JP1

ロジックICを経由して、i.MX6ULLのLCD_DATA05ピン、LCD_DATA_DATA11ピンに接続


表18.34 ジャンパの設定と起動デバイス

JP1 起動デバイス

オープン

eMMC

ショート

microSD


[ティップ]

eFUSEで起動デバイスを設定している場合、JP1の設定は無視されます。JP1をショート状態にすると、 プルアップ抵抗により消費電流が増加するため、JP1はオープン状態で使用することをお勧めします。

[警告]

eFUSEは一度書き込むと元に戻すことができません。 eFUSEの設定によってはArmadillo-610が正常に動作しなくなる可能性がありますので、 細心の注意を払って書き込みを行うようお願いいたします。 eFUSEの設定によって異常が起こった場合は保証対象外となります。

18.2.4.25. SW1(ユーザースイッチ)

SW1はユーザー側で自由に利用できる押しボタンスイッチです。

images/a610ext-sw1.svg

図18.32 Armadillo-610 拡張ボード SW1


表18.35 SW1 信号配列

部品番号 説明

SW1

i.MX6ULLのJTAG_MODピンに接続(押されていない状態: Low、押された状態: High)


18.2.4.26. SW2(リセットスイッチ)

SW2はリセット用の押しボタンスイッチです。 ボタンを押すとパワーマネジメントICからの電源が切断されます。

動作の詳細については、「PWRONピンからの電源制御」をご確認ください。

images/a610ext-sw2.svg

図18.33 Armadillo-610 拡張ボード SW2


表18.36 SW2 信号配列

部品番号 説明

SW2

パワーマネジメントICのPWRONピンとi.MX6ULLのPMIC_ON_REQピンに接続(押されていない状態: リセット解除、 押された状態: リセット状態)


18.2.4.27. SW3(ONOFFスイッチ)

SW3はボタンの長押しで電源を制御する押しボタンスイッチです。

動作の詳細については、「ONOFFピンからの電源制御」をご確認ください。

images/a610ext-sw3.svg

図18.34 Armadillo-610 拡張ボード SW3


表18.37 SW3 信号配列

部品番号 説明

SW3

i.MX6ULLのONOFFピンに接続


18.2.4.28. LED1、LED2(LAN LED)

LED1、LED2はCON2(LANインターフェース)のステータスLEDです。CON2(LANインターフェース)の上部に表示されます。 信号線はEthernet PHY(LAN8720AI-CP/Microchip Technology)のLEDピンに接続されます。

images/a610ext-lan-led.svg

図18.35 Armadillo-610 拡張ボード LED1、LED2


表18.38 LAN LEDの動作

LED 名称(色) 状態 説明

LED1

LANスピードLED(緑)

消灯

10Mbpsで接続されている、もしくはEthernetケーブル未接続

点灯

100Mbpsで接続されている

LED2

LANリンクアクティビティ(黄)

消灯

リンクが確立されていない

点灯

リンクが確立されている

点滅

リンクが確立されており、データを送受信している


18.2.4.29. LED3(ユーザーLED)

LED3ユーザー側で自由に利用できるLEDです。

images/a610ext-led3.svg

図18.36 Armadillo-610 拡張ボード LED3


表18.39 LED3

部品番号 名称(色) 説明

LED3

ユーザー LED(緑)

i.MX6ULLのGPIO1_IO08ピンに接続、(Low: 消灯、High: 点灯)


18.2.5. 基板形状図

images/a610ext-hole-dimension.svg

図18.37 Armadillo-610 拡張ボード 基板形状および固定穴寸法


images/a610ext-parts-dimension.svg

図18.38 Armadillo-610 拡張ボード コネクタ中心寸法および穴寸法


18.3. LCDオプションセット(7インチタッチパネルWVGA液晶)

18.3.1. 概要

ノリタケ伊勢電子製のタッチパネルLCDとフレキシブルフラットケーブル(FFC)のセットです。 LCDインターフェース(Armadillo-610 拡張ボード: CON11)に接続して使用することが可能です。

[警告]

LCDオプションセット(7インチタッチパネルWVGA液晶)を使用する場合、 Armadillo-610 拡張ボード搭載のリアルタイムクロックが使用できません。

表18.40 LCDオプションセット(7インチタッチパネルWVGA液晶)について

商品名

LCDオプションセット(7インチタッチパネルWVGA液晶)

型番

OP-LCD70EXT-L00

内容

7インチ タッチパネルLCD、FFC


表18.41 LCDの仕様

型番

GT800X480A-1013P

メーカー

ノリタケ伊勢電子

タイプ

TFT-LCD

表示サイズ

7インチ

外形サイズ

164.8 x 99.8 mm

解像度

800 x 480 pixels

表示色数

約1677万色

使用温度範囲

-20〜+70℃

輝度

850cd/m2 (Typ.) 25℃

電源

DC 5V±5%/500mA (Typ.), DC 3.3V±3%/35mA (Typ.)

映像入力インターフェース

RGBパラレル(18bit/24bit)[a]

タッチパネルインターフェース

I2C(HID準拠)

タッチ方式

投影型静電容量方式

マルチタッチ

最大10点対応

[a] LCDインターフェース(Armadillo-610 拡張ボード: CON11)は18bit対応です。


[警告]

タッチパネルLCDをご使用になる前に、『GT800X480A-1013P 製品仕様書』にて注意事項、詳細仕様、取扱方法等をご確認ください。

『GT800X480A-1013P 製品仕様書』は「アットマークテクノ Armadilloサイト」の「[オプション] LCDオプションセット (7インチタッチパネルWVGA液晶) 製品仕様書」からダウンロード可能です。

18.3.2. 組み立て

図18.39「LCDの接続方法」を参考にし、タッチパネルLCDのCN4の1ピンとArmadillo-610 拡張ボードのCON11の1ピンが対応するように、FFCを接続します。

images/lcd70-assembly.svg

図18.39 LCDの接続方法


images/common-images/ffc-cable.svg

図18.40 フレキシブルフラットケーブルの形状


[警告]

必ず1ピンと1ピンが対応するように、接続してください。 1ピンと50ピンが対応するように接続した場合、電源とGNDがショートし、 故障の原因となります。

18.4. Armadillo-400シリーズLCDオプションセット

18.4.1. 概要

4.3インチタッチパネルLCD、LCD拡張ボード、フレキシブルフラットケーブル(FFC)のセットです。

LCD拡張ボードにはLCD接続インターフェースの他にオーディオコーデック、リアルタイムクロック(RTC)を搭載しています。 LCDインターフェース(Armadillo-610 拡張ボード: CON11)に接続して使用することが可能です。

[ティップ]

LCD拡張ボードの回路図、部品表は「アットマークテクノ Armadilloサイト」からダウンロード可能です。

[ティップ]

本製品はArmadillo-400シリーズ用に準備されたLCDオプションセットですが、Armadillo-600シリーズでも利用可能です。

表18.42 Armadillo-400シリーズLCDオプションセットについて

商品名

Armadillo-400シリーズLCDオプションセット

型番

OP-A400-LCD43EXT-L01

内容

4.3インチ タッチパネルLCD、FFC、LCD固定用両面テープ


表18.43 LCD拡張ボードの仕様

LCD

型番

FG040346DSSWBG04 [a]

メーカー

Data Image

LCDバックライト

バックライト用LEDドライバ搭載

オーディオ

型番

WM8978GEFL/V

メーカー

Wolfson

リアルタイムクロック

型番

S-35390A

メーカー

ABLIC

RTCバックアップ

300秒(Typ.)、60秒(Min.)、電池ホルダ搭載、外部バッテリ接続コネクタ搭載可能(対応電池: CR2032等)

RTC平均月差(参考値)

約30秒@25

電源電圧

DC 3.3±0.2V(メイン電源)、DC 2.8〜5.5V(LCDバックライト)、DC 2.0〜3.5V(RTCバックアップ)

消費電力

約0.8W(LCD消費分を含む)

使用温度範囲

-20~+70℃(結露なきこと)

外形サイズ

106 x 82 mm(突起部を除く)

[a] LCD拡張インターフェース(汎用)により、その他のLCDも接続可能です。


[警告]

RTCの時間精度やバックアップ時間は周囲温度、電圧印加時間等に大きく影響を受けます。ご使用の際には十分に特性の確認をお願いします。

表18.44 LCDの仕様

型番

FG040346DSSWBG04

メーカー

Data Image

タイプ

TFT-LCD

表示サイズ

4.3インチ

外形サイズ

105.5(W) x 67.2(H) x 4.3(D) mm

解像度

480 x 272 pixels

表示色数

約1677万色

使用温度範囲

-20〜+70℃

輝度

320cd/m2 (Typ.)

映像入力インターフェース

RGBパラレル(24bit)[a]

タッチ方式

4線抵抗膜方式

[a] Armadillo-610 拡張ボードのCON11は18bit対応です。


[警告]

LCDオプションセットは製品リビジョンによって一部仕様が違いますのでご注意ください。 本章には、「製品リビジョンF」以降についての情報を記載しています。 製品リビジョンにつきましては、「アットマークテクノ Armadilloサイト」[https://armadillo.atmark-techno.com/] からダウンロードできる「Armadillo-400シリーズLCDオプションセット変更履歴表」にて ご確認ください。

18.4.2. ブロック図

LCD拡張ボードのブロック図は次のとおりです。

images/lcd43-block.svg

図18.41 LCD拡張ボードのブロック図


18.4.3. インターフェース仕様

LCD拡張ボードのインターフェース仕様について説明します。

images/lcd43-interface.svg

図18.42 LCD拡張ボードのインターフェース


表18.45 LCD拡張ボード インターフェース一覧 [19]

部品番号 インターフェース名 型番 メーカー

CON1

Armadillo接続インターフェース

XF2M-5015-1A

OMRON

CON2

LCD拡張インターフェース(専用)

FH19SC-40S-0.5SH(05)

HIROSE ELECTRIC

CON3

モノラルマイク入力

SJ-3524-SMT

CUI Inc

CON4

ステレオヘッドホン出力

SJ-3524-SMT

CUI Inc

CON5

スピーカー出力

S2B-PH-K-S(LF)(SN)

J.S.T.Mfg.

CON6

LCD拡張インターフェース(汎用)

RF-H402TD-1130

J.S.T.Mfg.

CON7

拡張インターフェース

RF-H102TD-1130

J.S.T.Mfg.

CON8

RTCバックアップインターフェース

HU2032

TAKACHI

CON9

DF13-2P-1.25DS(20)

HIROSE ELECTRIC

CON10

B2B-EH(LF)(SN)

J.S.T.Mfg.

SW1

ユーザースイッチ

SKHHLMA010

ALPS

SW2

SKHHLMA010

ALPS

SW3

SKHHLMA010

ALPS

SW4

SKHHLMA010

ALPS

SW5

SKHHLMA010

ALPS

SW6

SKHHLMA010

ALPS

LED1

電源LED

SML-310MT

ROHM

LED2

ユーザーLED

OSNG3133A

OPTO SUPPLY

LED3

OSNG3133A

OPTO SUPPLY

[19] 部品の実装、未実装を問わず、搭載可能な部品型番を記載しています。


18.4.3.1. CON1(Armadillo接続インターフェース)

CON1はArmadilloと接続するためのインターフェースです。50ピン(0.5mmピッチ)のフレキシブルフ ラットケーブルにより、LCDインターフェース(Armadillo-610 拡張ボード: CON11)と接続可能です。

LCD、タッチパネル、オーディオコーデック、リアルタイムクロックの各信号線が接続されています。

表18.46 CON1 信号配列

ピン番号ピン名 I/O 説明

1

GND

Power

電源(GND)

2

I2C3_SDA

In/Out

コーデック I2Cデータ、コーデックのSDINピンに接続、1kΩプルアップ(+3.3V)

3

I2C3_SCL

In

コーデック I2Cクロック、コーデック ICのSCLKピンに接続、1kΩプルアップ(+3.3V)

4

AUD5_TXFS

In

コーデック TXFS、コーデックのLRCピンに接続

5

AUD5_TXC

In

コーデック TXC、コーデックのBCLKピンに接続

6

AUD5_RXD

Out

コーデック RXD、コーデックのADCDATピンに接続

7

AUD5_TXD

In

コーデック TXD、コーデックのDACDAT ピンに接続

8

AUD_RXFS

In/Out

R50の実装によりリアルタイムクロックの割り込みピンに接続

9

AUD5_SYSCLK

In

コーデック SYSCLK、コーデックのMCLKピンに接続

10

GPIO2_30

In/Out

タクトスイッチ出力、SW3の2ピン、CON6の7ピンに接続

11

GPIO2_29

In/Out

タクトスイッチ出力、SW2の2ピン、CON6の8ピンに接続

12

GPIO2_20

In/Out

タクトスイッチ出力、SW1の2ピン、CON6の9ピンに接続

13

GND

Power

電源(GND)

14

TOUCH_YN

In/Out

タッチパネル YN、CON2の40ピン、CON6の10ピンに接続

15

TOUCH_YP

In/Out

タッチパネル YP、CON2の38ピン、CON6の11ピンに接続

16

TOUCH_XN

In/Out

タッチパネル XN、CON2の39ピン、CON6の12ピンに接続

17

TOUCH_XP

In/Out

タッチパネル XP、CON2の37ピン、CON6の13ピンに接続

18

GND

Power

電源(GND)

19

LCD_LD17

In

LCD 拡張 I/F LD17、CON2の12ピン、CON6の15 ピンに接続

20

LCD_LD16

In

LCD 拡張 I/F LD16、CON2の11ピン、CON6の16ピンに接続

21

LCD_LD15

In

LCD 拡張 I/F LD15、CON2の10ピン、CON6の17ピンに接続

22

LCD_LD14

In

LCD 拡張 I/F LD14、CON2の9ピン、CON6の18ピンに接続

23

LCD_LD13

In

LCD 拡張 I/F LD13、CON2の8ピン、CON6の19ピンに接続

24

LCD_LD12

In

LCD 拡張 I/F LD12、CON2の7ピン、CON6の20ピンに接続

25

GND

Power

電源(GND)

26

LCD_LD11

In

LCD 拡張 I/F LD11、CON2の20ピン、CON6の22ピンに接続

27

LCD_LD10

In

LCD 拡張 I/F LD10、CON2の19ピン、CON6の23ピンに接続

28

LCD_LD9

In

LCD 拡張 I/F LD9、CON2の18ピン、CON6の24ピンに接続

29

LCD_LD8

In

LCD 拡張 I/F LD8、CON2の17ピン、CON6の25ピンに接続

30

LCD_LD7

In

LCD 拡張 I/F LD7、CON2の16ピン、CON6の26ピンに接続

31

LCD_LD6

In

LCD 拡張 I/F LD6、CON2の15ピン、CON6の27ピンに接続

32

GND

Power

電源(GND)

33

LCD_LD5

In

LCD 拡張 I/F LD4、CON2の28ピン、CON6の29ピンに接続

34

LCD_LD4

In

LCD 拡張 I/F LD4、CON2の27ピン、CON6の30ピンに接続

35

LCD_LD3

In

LCD 拡張 I/F LD3、CON2の26ピン、CON6の31ピンに接続

36

LCD_LD2

In

LCD 拡張 I/F LD2、CON2の25ピン、CON6の32ピンに接続

37

LCD_LD1

In

LCD 拡張 I/F LD1、CON2の24ピン、CON6の33ピンに接続

38

LCD_LD0

In

LCD 拡張 I/F LD0、CON2の23ピン、CON6の34ピンに接続

39

PWMO1

In

LCD 拡張 I/F PWMO1、CON6の36ピン、LEDドライバのFBピンに接続

40

LCD_OE_ACD

In

LCD 拡張 I/F OE_ACD、CON2の34ピン、CON6の37 ピンに接続

41

LCD_VSYN

In

LCD 拡張 I/F VSYN、CON2の33ピン、CON6の38ピンに接続

42

LCD_HSYN

In

LCD 拡張 I/F HSYN、CON2の32ピン、CON6の39ピンに接続

43

LCD_LSCLK

In

LCD 拡張 I/F LSCLK、CON2の30ピン、CON6の40ピンに接続

44

GND

Power

電源(GND)

45

GND

Power

電源(GND)

46

+3.3V

Power

電源(+3.3V)

47

+3.3V

Power

電源(+3.3V)

48

VIN

Power

電源(VIN)

49

VIN

Power

電源(VIN)

50

VIN

Powe

電源(VIN)


18.4.3.2. CON2(LCD拡張インターフェース)

CON2はLCD(FG040346DSSWBG04/Data Image)と接続するためのインターフェースです。

[警告]

CON2とCON6には、共通の信号が接続されていますので同時に使用できません。 CON6を使用する場合は、CON2からLCDを取り外してください。

表18.47 CON2 信号配列

ピン番号ピン名 I/O 説明

1

BL_LED_K

Power

LEDドライバ電源(ー端子)

2

BL_LED_A

Power

LEDドライバ電源(+端子)

3

GND

Power

電源(GND)

4

+3.3V

Power

電源(+3.3V)

5

GND

Power

電源(GND)

6

GND

Power

電源(GND)

7

LCD_LD12

Out

LCD 拡張 I/F LD12、CON1の24ピン、CON6の20ピンに接続

8

LCD_LD13

Out

LCD 拡張 I/F LD13、CON1の23ピン、CON6の19ピンに接続

9

LCD_LD14

Out

LCD 拡張 I/F LD14、CON1の22ピン、CON6の18ピンに接続

10

LCD_LD15

Out

LCD 拡張 I/F LD15、CON1の21ピン、CON6の17ピンに接続

11

LCD_LD16

Out

LCD 拡張 I/F LD16、CON1の20ピン、CON6の16ピンに接続

12

LCD_LD17

Out

LCD 拡張 I/F LD17、CON1の19ピン、CON6の15ピンに接続

13

GND

Power

電源(GND)

14

GND

Power

電源(GND)

15

LCD_LD6

Out

LCD 拡張 I/F LD6、CON1の31ピン、CON6の27ピンに接続

16

LCD_LD7

Out

LCD 拡張 I/F LD7、CON1の30ピン、CON6の26ピンに接続

17

LCD_LD8

Out

LCD 拡張 I/F LD8、CON1の29ピン、CON6の25ピンに接続

18

LCD_LD9

Out

LCD 拡張 I/F LD9、CON1の28ピン、CON6の24ピンに接続

19

LCD_LD10

Out

LCD 拡張 I/F LD10、CON1の27ピン、CON6の23ピンに接続

20

LCD_LD11

Out

LCD 拡張 I/F LD11、CON1の26ピン、CON6の22ピンに接続

21

GND

Power

電源(GND)

22

GND

Power

電源(GND)

23

LCD_LD0

Out

LCD 拡張 I/F LD0、CON1の38ピン、CON6の34ピンに接続

24

LCD_LD1

Out

LCD 拡張 I/F LD1、CON1の37ピン、CON6の33ピンに接続

25

LCD_LD2

Out

LCD 拡張 I/F LD2、CON1の36ピン、CON6の32ピンに接続

26

LCD_LD3

Out

LCD 拡張 I/F LD3、CON1の35ピン、CON6の31ピンに接続

27

LCD_LD4

Out

LCD 拡張 I/F LD4、CON1の34ピン、CON6の30ピンに接続

28

LCD_LD5

Out

LCD 拡張 I/F LD5、CON1の33ピン、CON6の29ピンに接続

29

GND

Power

電源(GND)

30

DISP

In/Out

10kΩプルアップ(+3.3V)

31

LCD_LSCLK

Out

LCD 拡張 I/F LSCLK、CON1の43ピン、CON6の40ピンに接続

32

LCD_HSYN

Out

LCD 拡張 I/F HSYN、CON1の42ピン、CON6の39ピンに接続

33

LCD_VSYN

Out

LCD 拡張 I/F VSYN、CON1の41ピン、CON6の38ピンに接続

34

LCD_OE_ACD

Out

LCD 拡張 I/F OE_ACD、CON1の40ピン、CON6の37ピンに接続

35

NC

36

GND

Power

電源(GND)

37

TOUCH_XP

In/Out

タッチパネル XP、 CON1の17ピン、CON6の13ピンに接続

38

TOUCH_YP

In/Out

タッチパネル YP、 CON1の15ピン、CON6の11ピンに接続

39

TOUCH_XN

In/Out

タッチパネル XN、 CON1の16ピン、CON6の12ピンに接続

40

TOUCH_YN

In/Out

タッチパネル YN、 CON1の14ピン、CON6の10ピンに接続


18.4.3.3. CON3(モノラルマイク入力)

CON3はモノラルマイク入力です。

表18.48 CON3 信号配列

ピン番号ピン名 I/O 説明

1

GND

Power

電源(GND)

2

MIC_IN

In

コーデックのLIPピンに接続

3

10


18.4.3.4. CON4(ステレオヘッドホン出力)

CON4はステレオヘッドホン出力です。

表18.49 CON4 信号配列

ピン番号ピン名 I/O 説明

1

GND

Power

電源(GND)

2

HP_L_OUT

Out

コーデックのLOUT1ピンに接続

3

HP_R_OUT

Out

コーデックのROUT1ピンに接続

10

HP_DET

In

コーデックのL2/GPIO2ピンに接続


18.4.3.5. CON5(スピーカー出力)

CON5はスピーカー出力です。部品は実装されていません。

表18.50 CON5 信号配列

ピン番号ピン名 I/O 説明

1

SPK_N

Out

コーデックのLOUT2ピンに接続

2

SPK_P

Out

コーデックのROUT2ピンに接続


18.4.3.6. CON6(LCD拡張インターフェース)

CON6はLCDと接続するためのインターフェースです。部品は実装されていません。

[警告]

CON2とCON6には、共通の信号が接続されていますので同時に使用できません。 CON6を使用する場合は、CON2からLCDを取り外してください。

表18.51 CON6 信号配列

ピン番号ピン名 I/O 説明

1

VIN

Power

電源(VIN)

2

VIN

Power

電源(VIN)

3

+3.3V

Power

電源(+3.3V)

4

+3.3V

Power

電源(+3.3V)

5

GND

Power

電源(GND)

6

GND

Power

電源(GND)

7

GPIO2_30

In/Out

タクトスイッチ出力、SW3の2ピン、CON1の10ピンに接続

8

GPIO2_29

In/Out

タクトスイッチ出力、SW2の2ピン、CON1の11ピンに接続

9

GPIO2_20

In/Out

タクトスイッチ出力、SW1の2ピン、CON1の12ピンに接続

10

TOUCH_YN

In/Out

タッチパネル YN、 CON1の14ピン、CON1の40ピンに接続

11

TOUCH_YP

In/Out

タッチパネル YP、 CON1の15ピン、CON1の38ピンに接続

12

TOUCH_XN

In/Out

タッチパネル XN、 CON1の16ピン、CON1の39ピンに接続

13

TOUCH_XP

In/Out

タッチパネル XP、 CON1の17ピン、CON1の37ピンに接続

14

GND

Power

電源(GND)

15

LCD_LD17

Out

LCD 拡張 I/F LD17、CON2の12 ピン、CON1の19ピンに接続

16

LCD_LD16

Out

LCD 拡張 I/F LD16、CON2の11 ピン、CON1の20ピンに接続

17

LCD_LD15

Out

LCD 拡張 I/F LD15、CON2の10 ピン、CON1の21ピンに接続

18

LCD_LD14

Out

LCD 拡張 I/F LD14、CON2の9 ピン、CON1の22ピンに接続

19

LCD_LD13

Out

LCD 拡張 I/F LD13、CON2の8 ピン、CON1の23ピンに接続

20

LCD_LD12

Out

LCD 拡張 I/F LD12、CON2の7 ピン、CON1の24ピンに接続

21

GND

Power

電源(GND)

22

LCD_LD11

Out

LCD 拡張 I/F LD11、CON2の20ピン、CON1の26ピンに接続

23

LCD_LD10

Out

LCD 拡張 I/F LD10、CON2の19ピン、CON1の27ピンに接続

24

LCD_LD9

Out

LCD 拡張 I/F LD9、CON2の18ピン、CON1の28ピンに接続

25

LCD_LD8

Out

LCD 拡張 I/F LD8、CON2の17ピン、CON1の29ピンに接続

26

LCD_LD7

Out

LCD 拡張 I/F LD7、CON2の16ピン、CON1の30ピンに接続

27

LCD_LD6

Out

LCD 拡張 I/F LD6、CON2の15ピン、CON1の31ピンに接続

28

GND

Power

電源(GND)

29

LCD_LD5

Out

LCD 拡張 I/F LD5、CON2の28ピン、CON1の33ピンに接続

30

LCD_LD4

Out

LCD 拡張 I/F LD4、CON2の27ピン、CON1の34ピンに接続

31

LCD_LD3

Out

LCD 拡張 I/F LD3、CON2の26ピン、CON1の35ピンに接続

32

LCD_LD2

Out

LCD 拡張 I/F LD2、CON2の25ピン、CON1の36ピンに接続

33

LCD_LD1

Out

LCD 拡張 I/F LD1、CON2の24ピン、CON1の37ピンに接続

34

LCD_LD0

Out

LCD 拡張 I/F LD0、CON2の23ピン、CON1の38ピンに接続

35

GND

Power

電源(GND)

36

PWMO1

Out

LCD 拡張 I/F PWMO1、CON1の39ピン、LEDドライバのFBピンに接続

37

LCD_OE_ACD

Out

LCD 拡張 I/F OE_ACD、CON1の40ピン、CON1の34ピンに接続

38

LCD_VSYN

Out

LCD 拡張 I/F VSYN、CON1の41ピン、CON1の33ピンに接続

39

LCD_HSYN

Out

LCD 拡張 I/F HSYN、CON1の42ピン、CON1の32ピンに接続

40

LCD_LSCLK

Out

LCD 拡張 I/F LSCLK、CON1の43ピン、CON1の31ピンに接続


18.4.3.7. CON7(拡張インターフェース)

CON7はユーザー側で自由に利用できるスイッチ、LED拡張用のインターフェースです。部品は実装されていません。

表18.52 CON7 信号配列

ピン番号ピン名 I/O 説明

1

LED2

In

LED2に接続 (Low:消灯、 High:点灯)

2

NC

3

LED3

In

LED3に接続 (Low:消灯、 High:点灯)

4

NC

5

SW4

In/Out

SW4の2ピンに接続

6

NC

7

SW5

In/Out

SW5の2ピンに接続

8

NC

9

SW6

In/Out

SW6の2ピンに接続

10

NC


18.4.3.8. CON8、CON9、CON10(RTCバックアップインターフェース)

CON8、CON9、CON10はRTCのバックアップ電源供給用のインターフェースです。 電源(+3.3V)が切断されても長期間時刻データを保持させたい場合に、 別途バックアップ用のバッテリを接続することで、時刻データを保持することが可能です。 3つの形状のインターフェースがありますので、お使いのバッテリに合わせてご使用ください。 CON9、CON10に部品は実装されていません。

表18.53 CON8 信号配列

ピン番号 ピン名 I/O 説明

1

RTC_BAT

Power

電源(RTC_BAT)、リアルタイムクロックの電源ピンに接続

2

RTC_BAT

Power

電源(RTC_BAT)、リアルタイムクロックの電源ピンに接続

3

GND

Power

電源(GND)


[警告]

CON8、CON9、CON10は共通の端子に接続されており、同時に使用することはできません。

[警告]

CON8、CON9、CON10はリチウムコイン電池からの電源供給を想定したインターフェースです。 リチウムコイン電池以外から電源を供給する場合、回路図、部品表にて搭載部品をご確認の上、絶対定格値を超えない範囲でご使用ください。

表18.54 CON9、CON10 信号配列

ピン番号 ピン名 I/O 説明

1

RTC_BAT

Power

電源(RTC_BAT)、リアルタイムクロックの電源ピンに接続

2

GND

Power

電源(GND)


18.4.3.9. SW1、SW2、SW3、SW4、SW5、SW6(ユーザースイッチ)

SW1、SW2、SW3、SW4、SW5、SW6はユーザー側で自由に利用できるスイッチです。 SW4、SW5、SW6に部品は実装されていません。

表18.55 SW1、SW2、SW3、SW4、SW5、SW6の機能

部品番号説明

SW1

CON1の12ピン、CON6の9ピンに接続 (Low:押された状態、 Open:押されていない状態)

SW2

CON1の11ピン、CON6の8ピンに接続 (Low:押された状態、 Open:押されていない状態)

SW3

CON1の10ピン、CON6の7ピンに接続 (Low:押された状態、 Open:押されていない状態)

SW4

CON7の5ピンに接続 (Low:押された状態、 Open:押されていない状態)

SW5

CON7の7ピンに接続 (Low:押された状態、 Open:押されていない状態)

SW6

CON7の9ピンに接続 (Low:押された状態、 Open:押されていない状態)


18.4.3.10. LED1(電源LED)

LED1は電源LEDです。

表18.56 LED1の機能

部品番号名称(色) 説明

LED1

電源LED(緑)

電源(+3.3V)ON: 点灯、電源(+3.3V)OFF: 消灯


18.4.3.11. LED2、LED3ユーザー(LED)

LED2、LED3はユーザー側で自由に利用できるLEDです。部品は実装されていません。

表18.57 LED2、LED3の機能

部品番号 名称(色) 説明

LED2

ユーザーLED(ー)

CON7の1ピンと接続(High: 点灯、Low: 消灯)

LED3

CON7の3ピンと接続(High: 点灯、Low: 消灯)


18.4.4. 組み立て

タッチパネルLCDとLCD拡張ボードは図18.43「タッチパネルLCDとLCD拡張ボードの接続」のように接続します。

images/lcd43-lcd-assembly.svg

図18.43 タッチパネルLCDとLCD拡張ボードの接続


images/common-images/callouts/1.svg
LCD拡張ボード CON2のロックレバーを上げる
images/common-images/callouts/2.svg
タッチパネルLCDのフレキシブル基板(FPC)が止まるところまで挿入
images/common-images/callouts/3.svg
LCD拡張ボード CON2のロックレバーを下げる
[警告]

LCD拡張ボード CON2のロックレバーは指でつまむ等の操作は避け、親指や人指し指の爪により、跳ね上げる感じで操作してください。強い力を加えると、コネクタが破損する恐れがあります。

タッチパネルLCDをLCD拡張ボードに両面テープで固定する場合、図18.44「両面テープの貼付位置」の位置に貼付するのがおすすめです。

images/lcd43-tape-assembly.svg

図18.44 両面テープの貼付位置


images/common-images/callouts/1.svg
両面テープ貼付位置
images/common-images/callouts/2.svg
絶縁テープ
[警告]

付属の両面テープは以下の理由から量産時の使用を推奨しておりません。

  • 経年劣化により両面テープの粘着力が低下し、タッチパネルLCDが剥がれる可能性があります。
  • 弾力性のある両面テープでタッチパネルLCDをLCD拡張ボードに固定した場合、 タッチパネルに強い力が加わった際に、タッチパネルLCDのフレームとLCD拡張ボードの基板配線が接触し、故障の原因となる可能性があります。

LCD拡張ボードにはLCDの固定に利用可能な穴を複数設けておりますので、ご利用ください。

[警告]

タッチパネルLCD裏面の絶縁テープはLCD拡張ボード CON2とのショートを防止するために貼付していますので、剥がさないでください。

Armaidllo-610 拡張ボードとLCD拡張ボードは図18.45「Armadillo-610とLCD拡張ボードの接続」を参考にし、Armadillo-610 拡張ボードのCON11の1ピンとLCD拡張ボードのCON1の50ピンが対応するように、FFCを接続します。 FFCは電極が上になるようにします。

images/lcd43-a610-assembly.svg

図18.45 Armadillo-610とLCD拡張ボードの接続


images/common-images/ffc-cable.svg

図18.46 フレキシブルフラットケーブルの形状


[警告]

必ず1ピンと50ピンが対応するように、接続してください。 1ピンと1ピンが対応するように接続した場合、電源とGNDがショートし、 故障の原因となります。

[警告]

FFCの電極を上下逆に接続した場合、実装部品と電極が接触し、 故障する可能性があります。

18.4.5. 形状図

images/lcd43-hole-dimension.svg

図18.47 LCD拡張ボードの基板形状および固定穴寸法


images/lcd43-fixing-dimension.svg

図18.48 LCD拡張ボードの両面テープと固定部品配置可能位置


images/common-images/callouts/1.svg
両面テープ
images/common-images/callouts/2.svg
固定部品
images/lcd43-connector-dimension.svg

図18.49 LCD拡張ボードのコネクタ位置寸法




[17] 動作を確認するためには、別途オプション品を購入する必要があります。