第6章 インターフェース仕様 - 「Armadillo-460」

目次

6.1. インターフェースの配置 - 「Armadillo-460」
6.1.1. Armadillo-460インターフェースの配置
6.2. 電気的仕様 - 「Armadillo-460」
6.2.1. 入出力インターフェースの電気的仕様 - 「Armadillo-460」
6.2.2. 拡張バスインターフェースの電気的仕様 - 「Armadillo-460」
6.3. 各種インターフェース - 「Armadillo-460」
6.3.1. CON1、 CON23、 CON25(SDインターフェース) - 「Armadillo-460」
6.3.2. CON2、 CON7(LANインターフェース) - 「Armadillo-460」
6.3.3. CON3、 CON4、 CON19(シリアルインターフェース) - 「Armadillo-460」
6.3.4. CON5、 CON6、 CON17、 CON18(USBインターフェース) - 「Armadillo-460」
6.3.5. CON8、 SW2、 SW4(外部リセット) - 「Armadillo-460」
6.3.6. CON9(拡張インターフェース1) - 「Armadillo-460」
6.3.7. CON10(i.MX257 JTAGインターフェース) - 「Armadillo-460」
6.3.8. CON11(LCD拡張インターフェース) - 「Armadillo-460」
6.3.9. CON12、 CON13(電源入力) - 「Armadillo-460」
6.3.10. CON14(拡張インターフェース2) - 「Armadillo-460」
6.3.11. CON20(RTC外部バックアップ用電源入力) - 「Armadillo-460」
6.3.12. CON21(電源出力) - 「Armadillo-460」
6.3.13. J1、J2(拡張バスインターフェース) - 「Armadillo-460」
6.3.14. LED1、 LED2(LAN LED) - 「Armadillo-460」
6.3.15. LED3、 LED4、 LED5(ユーザーLED) - 「Armadillo-460」
6.3.16. SW1、 SW3、 CON22(ユーザースイッチ) - 「Armadillo-460」
6.3.17. JP1(起動モード設定ジャンパ) - 「Armadillo-460」
6.3.18. JP2(ユーザージャンパ) - 「Armadillo-460」
6.3.19. オンボードリアルタイムクロック - 「Armadillo-460」

6.1. インターフェースの配置 - 「Armadillo-460」

6.1.1. Armadillo-460インターフェースの配置

Armadillo-460インターフェースの配置

図6.1 Armadillo-460インターフェースの配置


表6.1 Armadillo-460インターフェースの内容[7]

部品番号インターフェース形状備考
CON1SDSDスロット 
CON2LANRJ-45コネクタ 
CON3シリアル1D-Sub9ピン(オス)信号はCON4と共通
CON4シリアル1

ピンヘッダ(10P)

(2.54mmピッチ)

 
CON5USB

Type-Aコネクタ

(1ポート)

High Speedに対応

2 ポートスタックコネクタを選択可能で、

上段Full Speed、下段High Speedに対応

CON6USB

ピンヘッダ(4P)

(2.54mmピッチ)

信号はCON5の下段と共通
CON7LAN

ピンヘッダ(10P)

(2.54mmピッチ)

信号はCON2と一部共通
CON8外部リセット

ピンヘッダ(2P)

(2.54mmピッチ)

 
CON9拡張1

ピンヘッダ(28P)

(2.54mmピッチ)

 
CON10i.MX257 JTAG

ピンヘッダ(8P)

(2.54mmピッチ)

 
CON11

LCD拡張

FFCコネクタ(50P)

(0.5mmピッチ)

 
CON12

電源入力

ピンヘッダ(4P)

(2.5mm ピッチ)

 
CON13電源入力

ピンヘッダ(8P)

(2.54mm ピッチ)

 
CON14拡張2

ピンヘッダ(4P)

(2.54mmピッチ)

 
CON15Reserved  
CON17USB

Type-Aコネクタ

(1ポート)

Full Speedに対応
CON18USB

ピンヘッダ(4P)

(2.54mmピッチ)

信号はCON17と共通
CON19シリアル4

ピンヘッダ(10P)

(2.54mmピッチ)

 
CON20RTC 外部バックアップ用電源入力

ピンヘッダ(2P)

(1.25mmピッチ)

 
CON21電源出力

ピンヘッダ(4P)

(2.54mmピッチ)

 
CON22ユーザースイッチ

ピンヘッダ(6P)

(2.54mmピッチ)

信号はSW1と共通
CON23SD

ピンヘッダ(10P)

(2.54mmピッチ)

信号はCON1と共通
CON24Reserve--
CON25SD

ピンヘッダ(10P)

(2.54mmピッチ)

信号はCON1と共通
J1

拡張バス

スタックスルー(64P)

(2.54mmピッチ)

 
J2

拡張バス

スタックスルー(40P)

(2.54mmピッチ)

 
JP1起動モード設定ジャンパ

ピンヘッダ(2P)

(2.54mmピッチ)

 
JP2ユーザージャンパ

ピンヘッダ(2P)

(2.54mmピッチ)

 
LED1LANリンクLEDLED(緑色,面実装)CON2上部に表示
LED2LANアクティビティLEDLED(黄色,面実装)CON2上部に表示
LED3ユーザーLEDLED(赤色,面実装) 
LED4ユーザーLEDLED(緑色,面実装) 
LED5ユーザーLEDLED(黄色,面実装) 
SW1ユーザースイッチ

タクトスイッチ(l=3.3mm)

ライトアングル

 
SW2リセットスイッチ

タクトスイッチ(l=3.3mm)

ライトアングル

 
SW3ユーザースイッチ

タクトスイッチ(h=3.5mm)

ストレート

信号はSW1と共通
SW4リセットスイッチ

タクトスイッチ(h=3.5mm)

ストレート

信号はSW2と共通

[7] 部品番号の背面が白色になっている部品は標準で搭載されています。部品番号の背面が灰色になっている部品は標準では非搭載ですが、選択することが可能です。


6.2. 電気的仕様 - 「Armadillo-460」

6.2.1. 入出力インターフェースの電気的仕様 - 「Armadillo-460」

入出力インターフェースの絶対最大定格を表6.2「入出力インターフェースの絶対最大定格 - 「Armadillo-460」」に、入出力インターフェース電源の仕様を表6.3「入出力インターフェース電源の電気的仕様 - 「Armadillo-460」」に、入出力インターフェースの電気的仕様を表6.4「入出力インターフェースの電気的仕様(OVDD=+3.3V_IO, +3.3V_EXT) - 「Armadillo-460」」にそれぞれ示します。

i.MX257のSoftware Pad Control Register(SW_PAD_CTL)とDrive Voltage Select Group Control Register(SW_PAD_CTL_GRP_DVS)で、出力電流(Std, High, Max)、スルーレート(Slow, Fast)、プルアップ/プルダウンを変更することができます。

表6.2 入出力インターフェースの絶対最大定格 - 「Armadillo-460」

SymbolParameterMinMaxUnits
VImaxInput voltage range–0.5OVDD+0.3V

表6.3 入出力インターフェース電源の電気的仕様 - 「Armadillo-460」

SymbolParameterMinMaxUnits
+3.3V_IOPower Supply Voltage3.1353.465V
Power Supply Current-0.5A
+3.3V_EXTPower Supply Voltage3.1353.465V
Power Supply Current-1.0A

表6.4 入出力インターフェースの電気的仕様(OVDD=+3.3V_IO, +3.3V_EXT) - 「Armadillo-460」

SymbolParameterMinMaxUnitsConditions
VIHCMOS High-Level Input Voltage0.7×OVDDOVDDV 
VILCMOS Low-Level Input Voltage-0.30.3×OVDDV 
VOHCMOS High-Level Output VoltageOVDD-0.15-VIOH = -1mA
0.8×OVDD-VIOH = Specified Drive
VOLCMOS Low-Level Output Voltage-0.15VIOL = 1mA
-0.2×OVDDVIOL = Specified Drive
IOH_SHigh-Level Output Current, Slow Slew Rate-2.0-mAVOH = 0.8×OVDD, Std Drive
-4.0-mAVOH = 0.8×OVDD, High Drive
-8.0-mAVOH = 0.8×OVDD, Max Drive
IOH_FHigh-Level Output Current, Fast Slew Rate-4.0-mAVOH = 0.8×OVDD, Std Drive
-6.0-mAVOH = 0.8×OVDD, High Drive
-8.0-mAVOH = 0.8×OVDD, Max Drive
IOL_SLow-Level Output Current, Slow Slew Rate2.0-mAVOL = 0.2×OVDD, Std Drive
4.0-mAVOL = 0.2×OVDD, High Drive
8.0-mAVOL = 0.2×OVDD, Max Drive
IOL_FLow-Level Output Current, Fast Slew Rate4.0-mAVOL = 0.2×OVDD, Std Drive
6.0-mAVOL = 0.2×OVDD, High Drive
8.0-mAVOL = 0.2×OVDD, Max Drive
IINInput Current (no PU/PD[a])-0.1μAVI = 0
-0.06μAVI = OVDD = +3.3V
Input Current (22kΩPU)117184μAVI = 0
0.00010.0001μAVI = OVDD
Input Current (47kΩPU)5488μAVI = 0
0.00010.0001μAVI = OVDD
Input Current (100kΩPU)2542μAVI = 0
0.00010.0001μAVI = OVDD
Input Current (100kΩPD)0.00010.0001μAVI = 0
2542μAVI = OVDD
ICCHigh-impedance Supply Current-1.2μAVI = 0
-1.2μAVI = OVDD

[a] PU=Pull Up, PD=Pull Down


6.2.2. 拡張バスインターフェースの電気的仕様 - 「Armadillo-460」

拡張バスインターフェースにはPC/104拡張バス互換モードと3.3V高速拡張バスモードがあり、それぞれのモードにおいて電源電圧の仕様は異なります。拡張バスインターフェースの電源電圧の仕様を表6.5「拡張バスインターフェースの電源電圧仕様 - 「Armadillo-460」」に示します。

表6.5 拡張バスインターフェースの電源電圧仕様 - 「Armadillo-460」

拡張バスインターフェースminmaxUnits
PC/104拡張バス互換モード3.05.25V
3.3V高速拡張バスモード3.03.6V

[警告]

PC/104 拡張バス互換モードから3.3V高速拡張バスモードに切り替える場合、拡張バスインターフェースの電源 V_PC104には必ず +3.3V_EXTを選択してください。+3.3V_EXTはチップ抵抗(0Ω)をR271からR270に載せ替えることで選択することができます。載せ替えるチップ抵抗の位置は、付録D 抵抗情報 - 「Armadillo-460」をご確認ください。

拡張バスインターフェースの絶対最大定格を表6.6「拡張バスインターフェースの絶対最大定格 - 「Armadillo-460」」に示します。

  PC/104拡張バス互換モードにおける拡張バスインターフェースの電気的仕様を表6.7「拡張バスインターフェースの電気的仕様 - 「PC/104拡張バス互換モード」」に、 3.3V高速拡張バスモードにおける拡張バスインターフェースの電気的仕様を表6.8「拡張バスインターフェースの電気的仕様 - 「3.3V高速拡張バスモード」」にそれぞれ示します。

表6.6 拡張バスインターフェースの絶対最大定格 - 「Armadillo-460」

SymbolParameterminmaxUnitsCondition
VImaxInput voltage range-0.56.0V-
VOmaxOutput voltage range-0.5V_PC104+0.5V-
Iomaxoutput source or sink current-±50mAVO = 0 V to V_PC104
ICC, IGNDV_PC104 or GND current-±100mA[SD0..SD7],[SD8..SD15]

表6.7 拡張バスインターフェースの電気的仕様 - 「PC/104拡張バス互換モード」

SymbolParameterminmaxUnitsCondition
VIHHIGH-level input voltage2.0-VV_PC104=3.0V to 5.5V
VILLOW-level input voltage-0.7VV_PC104=3.0V to 5.5V
VOHHIGH-level output voltageV_PC104-0.5-VIO = -12 mA
VOLLOW-level output voltage-0.4VIO = 12 mA
ILIInput leakage current-±5uAVI = V_PC104 or GND
IOZ3-state output OFF-state current-±10uA

VI = VIH or VIL

VO = V_PC104 or GND


表6.8 拡張バスインターフェースの電気的仕様 - 「3.3V高速拡張バスモード」

SymbolParameterminmaxUnitsCondition
VIHHIGH-level input voltage2.0-VV_PC104=3.0V to 3.6V
VILLOW-level input voltage-0.7VV_PC104=3.0V to 3.6V
VOHHIGH-level output voltageV_PC104-0.5-VIO = -12 mA
VOLLOW-level output voltage-0.4VIO = 12 mA
ILIInput leakage current-±5uAVI = V_PC104 or GND
IOZ3-state output OFF-state current-±10uA

VI = VIH or VIL

VO = V_PC104 or GND


6.3. 各種インターフェース - 「Armadillo-460」

6.3.1. CON1、 CON23、 CON25(SDインターフェース) - 「Armadillo-460」

Armadillo-460のCON1はSDスロットです。i.MX257のSD/MMCコントローラ(SDHC1)に接続されています。CON23、 CON25とCON1 は、コネクタの形状とピン配置が異なりますが、SD信号は共通となっています。

SDインターフェースに供給する電源は、i.MX257のNFRE_B(GPIO3_27)ピンを用いてON/OFFの制御が可能です。i.MX257のNFRE_B(GPIO3_27)ピンをGPIOの出力モードに設定後、Low出力で電源が供給され、High出力で電源が切断されます。

表6.9 CON1信号配列 - 「Armadillo-460」

ピン番号信号名I/O機  能
1SD1_DAT3In/Out

データバス(bit3)、

i.MX257のSD1_DATA3ピンに接続

2SD1_CMDIn/Out

コマンド/レスポンス、

i.MX257 のSD1_CMD ピンに接続

3VSSPower電源(GND)
4VDDPower電源(+3.3V_EXT)[a][b]
5SD1_CLKOut

クロック、

i.MX257のSD1_CLKピンに接続

6VSSPower電源(GND)
7SD1_DAT0In/Out

データバス(bit0)、

i.MX257のSD1_DATA0ピンに接続

8SD1_DAT1In/Out

データバス(bit1)、

i.MX257のSD1_DATA1ピンに接続

9SD1_DAT2In/Out

データバス(bit2)、

i.MX257のSD1_DATA2ピンに接続

10SD1_CD*In

カード検出(Low:カード挿入、High:カード抜去)、

i.MX257のNFRB(GPIO3_31)ピンに接続

12SD1_WPIn

ライトプロテクト検出(Low:書込み可能、High:書込み不可能)、

i.MX257のBCLK(GPIO4_4)ピンに接続

[a] 出力電流はCON1、CON4、CON7、CON19、CON21、CON23、CON25の合計で最大500mAです。

[b] CON1(SDスロット)は活線挿抜に対応しております。


表6.10 カード検出、 ライトプロテクト検出の機能 - 「Armadillo-460」

SDカードライトプロテクトSD1_CD*SD1_WP
挿入書込み不可能LowHigh
書込み可能Low
抜去-HighHigh

SDカードの挿抜方法 - 「Armadillo-460」

図6.2 SDカードの挿抜方法 - 「Armadillo-460」


[警告]

CON1、 CON23 およびCON25は、共通の信号が接続されていますので同時に使用できません。いずれか一つのコネクタでのみご使用ください。

[ティップ]

Armadilloサイトにて、動作確認済みSDカード情報を随時更新していますのでご確認ください。

表6.11 CON23信号配列 - 「Armadillo-460」

ピン番号信号名I/O機  能
1SD1_DAT2In/Out

データバス(bit2)、

i.MX257のSD1_DATA2ピンに接続

2SD1_DAT3In/Out

データバス(bit3)、

i.MX257のSD1_DATA3ピンに接続

3SD1_CMDIn/Out

コマンド/レスポンス、

i.MX257 のSD1_CMD ピンに接続

4VSSPower電源(GND)
5VDDPower電源(+3.3V_EXT)[a]
6SD1_CLKOut

クロック、

i.MX257のSD1_CLKピンに接続

7SD1_DAT0In/Out

データバス(bit0)、

i.MX257のSD1_DATA0ピンに接続

8SD1_DAT1In/Out

データバス(bit1)、

i.MX257のSD1_DATA1ピンに接続

9SD1_CD*In

カード検出(Low:カード挿入、High:カード未挿入)、

i.MX257のNFRB(GPIO3_31)ピンに接続

10SD1_WPIn

ライトプロテクト検出(Low:書込み可能、High:書込み不可能)、

i.MX257のBCLK(GPIO4_4)ピンに接続

[a] 出力電流はCON1、CON4、CON7、CON19、CON21、CON23、CON25の合計で最大500mAです。


[警告]

CON1、 CON23 およびCON25は、共通の信号が接続されていますので同時に使用できません。どちらか一つのコネクタでのみご使用ください。

[警告]

CON23 およびCON25のSD1_CD*信号とSD1_WP信号に1.8V以上の電圧を加えないでください。内部デバイスが破壊する恐れがあります。

[警告]

CON23 およびCON25のSD1_CD*信号とSD1_WP信号をGPIO入力として利用することは推奨いたしません。やむを得ずGPIO入力として利用する場合は、オープンドレイン接続してください。

表6.12 CON25信号配列 - 「Armadillo-460」

ピン番号信号名I/O機  能
1SD1_DAT3In/Out

データバス(bit3)、

i.MX257のSD1_DATA3ピンに接続

2SD1_DAT2In/Out

データバス(bit2)、

i.MX257のSD1_DATA2ピンに接続

3VSSPower電源(GND)
4SD1_CMDIn/Out

コマンド/レスポンス、

i.MX257 のSD1_CMD ピンに接続

5SD1_CLKOut

クロック、

i.MX257のSD1_CLKピンに接続

6VDDPower電源(+3.3V_EXT)[a]
7SD1_DAT1In/Out

データバス(bit1)、

i.MX257のSD1_DATA1ピンに接続

8SD1_DAT0In/Out

データバス(bit0)、

i.MX257のSD1_DATA0ピンに接続

9SD1_WPIn

ライトプロテクト検出(Low:書込み可能、High:書込み不可能)、

i.MX257のBCLK(GPIO4_4)ピンに接続

10SD1_CD*In

カード検出(Low:カード挿入、High:カード未挿入)、

i.MX257のNFRB(GPIO3_31)ピンに接続

[a] 出力電流はCON1、CON4、CON7、CON19、CON21、CON23、CON25の合計で最大500mAです。


6.3.2. CON2、 CON7(LANインターフェース) - 「Armadillo-460」

CON2、 CON7は10BASE-T/100BASE-TXのLANインターフェースです。カテゴリ5以上のイーサネットケーブルを接続することができます。AUTO-MDIX機能を搭載しており、ストレートまたはクロスを自動認識して送受信端子を切り替えます。

表6.13 CON2信号配列 - 「Armadillo-460」

ピン番号信号名I/O機  能
1TX+In/Out差動のツイストペア送信/受信1(+)、CON7(1ピン)と共通
2TX-In/Out差動のツイストペア送信/受信1(-)、CON7(4ピン)と共通
3RX+In/Out差動のツイストペア送信/受信2(+)、CON7(3ピン)と共通
4--CON2(5ピン)と接続後に75Ω終端、CON7(5ピン)と共通
5--CON2(4ピン)と接続後に75Ω終端、CON7(5ピン)と共通
6RX-In/Out差動のツイストペア送信/受信2(-)、CON7(6ピン)と共通
7--CON2(8ピン)と接続後に75Ω終端、CON7(7ピン)と共通
8--CON2(7ピン)と接続後に75Ω終端、CON7(7ピン)と共通

表6.14 CON7信号配列 - 「Armadillo-460」

ピン番号信号名I/O機  能
1TX+In/Out

差動のツイストペア送信/受信1(+)、

CON2(1ピン)と共通

2ACTIVITY_LEDOut

ACTIVITY表示

(Low:データ送受信時、High:非データ送受信時、HiZ:非リンク時)

3RX+In/Out

差動のツイストペア送信/受信2(+)、

CON2(3ピン)と共通

4TX-In/Out

差動のツイストペア送信/受信1(-)、

CON2(2ピン)と共通

5--75Ω終端、CON2(4, 5ピン)と共通
6RX-In/Out

差動のツイストペア送信/受信2(-)、

CON2(6ピン)と共通

7--75Ω終端、CON2(7, 8ピン)と共通
8LINK_LEDOut

LINK表示

(Low:リンク確立時、High:非リンク時)

9+3.3V_CPUPower電源(+3.3V_CPU)[a]
10GNDPower電源(GND)

[a] 出力電流はCON1、CON4、CON7、CON10の合計で最大200mAです。


[警告]

CON2とCON7は、共通の信号が接続されていますので同時に使用できません。どちらか一つのコネクタでのみご使用ください。

CON7のACTIVITY_LED信号およびLINK_LED信号について、回路構成を図6.3「ACTIVITY_LED信号およびLINK_LED信号の回路構成 - 「Armadillo-460」」に示します。

CON7のACTIVITY_LED信号およびLINK_LED信号は、Armadillo-460の内部でLED1およびLED2をドライブしています。ACTIVITY_LED信号あるいはLINK_LED信号で外部負荷を直接ドライブする際には、ドライブ電流が不足する可能性がありますので、必要に応じて適宜バッファを挿入してください。

ACTIVITY_LED信号およびLINK_LED信号の回路構成 - 「Armadillo-460」

図6.3 ACTIVITY_LED信号およびLINK_LED信号の回路構成 - 「Armadillo-460」


6.3.3. CON3、 CON4、 CON19(シリアルインターフェース) - 「Armadillo-460」

CON3とCON4およびCON19は非同期(調歩同期)シリアルインターフェースです。CON3とCON4のシリアルインターフェース1はi.MX257のUARTコントローラに接続されています。

CON3とCON4はコネクタの形状とピン配置が異なりますが、シリアル信号は共通となっています。

CON19のシリアルインターフェース4はArmadillo-460に搭載しているセレクタを経由してi.MX257のUARTコントローラに接続されています。

6.3.3.1. CON3、 CON4(シリアルインターフェース1) - 「Armadillo-460」

CON3、 CON4:

  • 信号入出力レベル:RS232Cレベル

  • 最大データ転送レート:230.4kbps

  • フロー制御:CTS, RTS, DTR, DSR, DCD, RI

  • コントローラ:i.MX257内蔵UARTコントローラ(UART2)

  • CON3コネクタ形状:D-Sub9ピン

  • CON4コネクタ形状:10ピン(2×5, 2.54mmピッチ)

CON3とCON4に接続されているRS232Cレベル変換ICは、i.MX257のBOOT_MODE1(GPIO4_31)ピンを用いてシャットダウンすることが可能です。i.MX257のBOOT_MODE1(GPIO4_31)ピンをGPIOの出力モードに設定後、Low出力でシャットダウンモード、High出力で通常モードになります。

表6.15 CON3信号配列 - 「Armadillo-460」

ピン番号信号名I/O機  能
1DCD2In

キャリア検出、i.MX257のUART1_RTSピンに接続、

CON4(1ピン)と共通

2RXD2In

受信データ、i.MX257のUART2_RXDピンに接続、

CON4(3ピン)と共通

3TXD2Out

送信データ、i.MX257のUART2_TXDピンに接続、

CON4(5ピン)と共通

4DTR2Out

データ端末レディ、i.MX257のUART1_RXDピンに接続、

CON4(7ピン)と共通

5GNDPower電源(GND)
6DSR2In

データセットレディ、i.MX257のUART1_TXDピンに接続、

CON4(2ピン)と共通

7RTS2Out

送信要求、i.MX257のUART2_CTSピンに接続、

CON4(4ピン)と共通

8CTS2In

送信可能、i.MX257のUART2_RTSピンに接続、

CON4(6ピン)と共通

9RI2In

被呼表示、i.MX257のUART1_CTSピンに接続、

CON4(8ピン)と共通


表6.16 CON4信号配列 - 「Armadillo-460」

ピン番号信号名I/O機  能
1DCD2In

キャリア検出、i.MX257のUART1_RTSピンに接続、

CON3(1ピン)と共通

2DSR2In

データセットレディ、i.MX257のUART1_TXDピンに接続、

CON3(6ピン)と共通

3RXD2In

受信データ、i.MX257のUART2_RXDピンに接続、

CON3(2ピン)と共通

4RTS2Out

送信要求、i.MX257のUART2_CTSピンに接続、

CON3(7ピン)と共通

5TXD2Out

送信データ、i.MX257のUART2_TXDピンに接続、

CON3(3ピン)と共通

6CTS2In

送信可能、i.MX257のUART2_RTSピンに接続、

CON3(8ピン)と共通

7DTR2Out

データ端末レディ、i.MX257のUART1_RXDピンに接続、

CON3(4ピン)と共通

8RI2In

被呼表示、i.MX257のUART1_CTSピンに接続、

CON3(9ピン)と共通

9GNDPower電源(GND)
10

+3.3V_EXT

Power

電源(+3.3V_EXT)[a]

[a] Armadillo-460の出力電流はCON1、CON4、CON7、CON19、CON21、CON23、CON25の合計で最大500mAです。


[警告]

CON3とCON4は、共通の信号が接続されていますので同時に使用できません。いずれか一つのコネクタでのみご使用ください。

6.3.3.2. CON19(シリアルインターフェース4) - 「Armadillo-460」

CON19:

  • 信号入出力レベル:RS232Cレベル

  • 最大データ転送レート:230.4kbps

  • フロー制御:CTS, RTS

  • コントローラ:i.MX257内蔵UARTコントローラ(UART4)

  • CON19コネクタ形状:10ピン(2× 5, 2.54mmピッチ)

表6.17 CON19信号配列 - 「Armadillo-460」

ピン番号信号名I/O機  能
1--

-

2--

-

3RXD4In

受信データ、i.MX257のUART4_RXDピンにセレクタ経由で接続、

CON11(44ピン:AUD5_TXD)と排他選択

4RTS4Out

送信要求、i.MX257のUART4_CTSピンにセレクタ経由で接続、

CON11(47ピン:AUD5_TXFS)と排他選択

5TXD4Out

送信データ、i.MX257のUART4_TXDピンにセレクタ経由で接続、

CON11(45ピン:AUD5_RXD)と排他選択

6CTS4In

送信可能、i.MX257のUART4_RTSピンにセレクタ経由で接続、

CON11(46ピン:AUD5_TXC)と排他選択

7--

-

8--

-

9GNDPower電源(GND)
10+3.3V_EXTPower電源(+3.3V_EXT)[a]

[a] Armadillo-460の出力電流はCON1、CON4、CON7、CON19、CON21、CON23、CON25の合計で最大500mAです。


6.3.3.2.1. CON11/CON19 接続先セレクタの構成 - 「Armadillo-460」

Armadillo-460に搭載しているCON11/CON19 接続先セレクタについて、図6.4「CON11/CON19 接続先セレクタ - 「Armadillo-460」」に示します。 CON11/CON19 接続先セレクタはi.MX257のKPP_COL0/GPIO3_1ピン、KPP_COL1/GPIO3_2ピン、KPP_COL2/GPIO3_3ピン、KPP_COL3/GPIO3_4ピンの接続先として、CON19とCON11のどちらかを接続するために使用可能で、CPLDのExt I/F Control Registerにより制御します。CPLDのメモリマップ、レジスタについては、付録E CPLDレジスタ - 「Armadillo-460」を参照してください。i.MX257の信号マルチプレクスについては、表6.30「CON11信号マルチプレクス(39~50ピン) - 「Armadillo-460」」を参照してください。

CON11/CON19 接続先セレクタ - 「Armadillo-460」

図6.4 CON11/CON19 接続先セレクタ - 「Armadillo-460」


6.3.4. CON5、 CON6、 CON17、 CON18(USBインターフェース) - 「Armadillo-460」

CON5はUSBインターフェースです。i.MX257のUSBコントローラに接続されています。

USBインターフェースにはUSBインターフェース1とUSBインターフェース2の2つがあります。USBインターフェースの仕様を表6.18「USBインターフェース - 「Armadillo-460」」に示します。

CON5(下段)とCON6ではコネクタの形状とピン配置が異なりますが、USB信号は共通となっています。

CON5(上段)とCON17およびCON18のコネクタの形状とピン配置が異なりますが、USB信号は共通となっています。

表6.18 USBインターフェース - 「Armadillo-460」

USBインターフェースコネクタデータ転送モードコントローラPHY
USBインターフェース1

CON5(下段)

CON6

USB 2.0

High Speed(480Mbps)

Full Speed(12Mbps)

Low Speed(1.5Mbps)

OTG[a]USBPHY1[b]
USBインターフェース2

CON5(上段)

CON17

CON18

USB 2.0

Full Speed(12Mbps)

Low Speed(1.5Mbps)

HOST[a]USBPHY2[b]

[a] i.MX257内蔵USB コントローラ

[b] i.MX257内蔵USB PHY


[警告]

データ転送モード にある括弧内の転送速度は規格上の最大値を示しております。実際の転送速度がシステム要件を十分に満たすことをご確認の上、ご使用ください。

[ティップ]

Armadillo-460では、USB Full Speed信号をCON5(上段)、CON17、CON18のいずれかに出力することが可能です。

表6.19 CON5信号配列 - 「Armadillo-460」

ピン番号信号名I/O機  能
1+5V_USBPowerUSB電源、電源入力VIN(4.75V以上)の選択で最大500mAの供給可能
2USB1-In/Out

USB1のマイナス側信号、i.MX257のUSBPHY1_DMピン

に接続、CON6(2ピン)と共通

3USB1+In/Out

USB1のプラス側信号、i.MX257のUSBPHY1_DPピン

に接続、CON6(3ピン)と共通

4GNDPower電源(GND)
5+5V_USBPowerUSB電源、電源入力VIN(4.75V以上)の選択で最大500mAの供給可能
6USB2-In/Out

USB2のマイナス側信号、

i.MX257のUSBPHY2_DMピンに接続、CON17(2ピン)およびCON18(2ピン)と共通

7USB2+In/Out

USB2のプラス側信号、

i.MX257のUSBPHY2_DPピンに接続、CON17(3ピン)およびCON18(3ピン)と共通

8GNDPower電源(GND)

表6.20 CON6信号配列 - 「Armadillo-460」

ピン番号信号名I/O機  能
1+5V_USBPowerUSB電源、電源入力VIN(4.75V以上)の選択で最大500mAの供給可能
2USB1-In/Out

USB1のマイナス側信号、i.MX257のUSBPHY1_DMピン

に接続、CON5(2ピン)と共通

3USB1+In/Out

USB1のプラス側信号、i.MX257のUSBPHY1_DPピン

に接続、CON5(3ピン)と共通

4GNDPower電源(GND)

表6.21 CON17信号配列 - 「Armadillo-460」

ピン番号信号名I/O機  能
1+5V_USBPowerUSB電源、電源入力VIN(4.75V以上)の選択で最大500mAの供給可能
2USB2-In/Out

USB2のマイナス側信号、

i.MX257のUSBPHY2_DMピンに接続、CON5(6ピン)およびCON18(2ピン)と共通

3USB2+In/Out

USB2のプラス側信号、

i.MX257のUSBPHY2_DPピンに接続、CON5(7ピン)およびCON18(3ピン)と共通

4GNDPower電源(GND)

表6.22 CON18信号配列 - 「Armadillo-460」

ピン番号信号名I/O機  能
1+5V_USBPowerUSB電源、電源入力VIN(4.75V以上)の選択で最大500mAの供給可能
2USB2-In/Out

USB2のマイナス側信号、

i.MX257のUSBPHY2_DMピンに接続、CON5(6ピン)およびCON17(2ピン)と共通

3USB2+In/Out

USB2のプラス側信号、

i.MX257のUSBPHY2_DPピンに接続、CON5(7ピン)およびCON17(3ピン)と共通

4GNDPower電源(GND)

[警告]

CON5下段とCON6は、共通の信号が接続されていますので同時に使用できません。どちらか一つのコネクタでのみご使用ください。

[警告]

CON5上段とCON17およびCON18は、共通の信号が接続されていますので同時に使用できません。どちらか一つのコネクタでのみご使用ください。

[ティップ]

Armadilloサイトにて、動作確認済みUSBデバイス情報を随時更新していますのでご確認ください。

6.3.5. CON8、 SW2、 SW4(外部リセット) - 「Armadillo-460」

CON8は外部リセット端子です。CON8(1ピン)は基板上のリセットICに接続され、Low状態の期間中、Armadillo-460はリセット状態となります。SW2、 SW4はCON8のリセット信号と共通になっています。

表6.23 CON8信号配列 - 「Armadillo-460」

ピン番号信号名I/O機  能
1EXT_RESET*In

外部リセット

(Low:リセット状態、High[a]:リセット解除)

2GNDPower電源(GND)

[a] CON8の1ピンは、内部で+3.3Vにプルアップされており、他にオープンコレクタやオープンドレイン信号を入力可能です。


表6.24 リセットスイッチの機能 -「Armadillo-460」

SW機  能
SW2、 SW4

外部リセット

(押された状態:リセット状態、押されていない状態[a]:リセット解除)

[a] CON8の1ピンは、内部で+3.3Vにプルアップされており、他にオープンコレクタやオープンドレイン信号を入力可能です。


[警告]

確実にリセットさせるため、外部リセットには1msec以上のLow期間を設定してください。

6.3.6. CON9(拡張インターフェース1) - 「Armadillo-460」

CON9 は拡張入出力インターフェースです。Armadillo-400シリーズで共通の信号配列となっています。このインターフェースは、用途によって数多くの機能を選択できるように一つのピンに複数の機能が割り当てられています。これをマルチプレクスされていると言います。各信号ピンの配列については表6.25「CON9信号配列 - 「Armadillo-460」」を、マルチプレクスされている機能については表6.26「CON9信号マルチプレクス - 「Armadillo-460」」を、各信号ピンの初期状態は付録B 拡張インターフェースの初期設定をそれぞれ参照してください。

[ティップ]

Armadillo-400シリーズでは CON8、 CON9 および CON14の信号配列は共通となっており、Armadillo-400シリーズ の オプションモジュールはArmadillo-420/440/460のいずれにもご使用いただけます。

表6.25 CON9信号配列 - 「Armadillo-460」

ピン番号信号名I/O機  能
1EXT_IO0In/Out拡張入出力0、i.MX257のVSTBY_REQピンに接続
2EXT_IO1In/Out拡張入出力1、i.MX257のRTCKピンに接続
3EXT_IO2In/Out拡張入出力2、i.MX257のCSPI1_MOSIピンに接続
4EXT_IO3In/Out拡張入出力3、i.MX257のCSI_D2ピンに接続
5EXT_IO4In/Out拡張入出力4、i.MX257のCSPI1_MISOピンに接続
6EXT_IO5In/Out拡張入出力5、i.MX257のCSI_D3ピンに接続
7+3.3V_IOPower電源(+3.3V_IO)
8+3.3V_IOPower電源(+3.3V_IO)
9GNDPower電源(GND)
10GNDPower電源(GND)
11EXT_IO6In/Out拡張入出力6、i.MX257のCSPI1_SS1ピンに接続
12EXT_IO7In/Out拡張入出力7、i.MX257のCSI_D4ピンに接続
13EXT_IO8In/Out拡張入出力8、i.MX257のCSPI1_SCLKピンに接続
14EXT_IO9In/Out拡張入出力9、i.MX257のCSI_D5ピンに接続
15EXT_IO10In/Out拡張入出力10、i.MX257のCSI_D8ピンに接続
16EXT_IO11In/Out拡張入出力11、i.MX257のCSI_D6ピンに接続
17EXT_IO12In/Out拡張入出力12、i.MX257のCSI_D9ピンに接続
18EXT_IO13In/Out拡張入出力13、i.MX257のCSI_D7ピンに接続
19GNDPower電源(GND)
20+3.3V_IOPower電源(+3.3V_IO)
21EXT_IO14In/Out拡張入出力14、i.MX257のCSI_MCLKピンに接続
22EXT_IO15In/Out拡張入出力15、i.MX257のCSI_VSYNCピンに接続
23EXT_IO16In/Out拡張入出力16、i.MX257のCSI_HSYNCピンに接続
24EXT_IO17In/Out拡張入出力17、i.MX257のCSI_PIXCLKピンに接続
25EXT_IO18In/Out拡張入出力18、i.MX257のCSPI1_SS0ピンに接続
26EXT_IO19In/Out拡張入出力19、i.MX257のCSPI1_RDYピンに接続
27EXT_IO20In/Out拡張入出力20、i.MX257のCLKOピンに接続
28EXT_IO21In/Out拡張入出力21、i.MX257のEXT_ARMCLKピンに接続

表6.26 CON9信号マルチプレクス - 「Armadillo-460」

ピン番号機能[a][b]
GPIOCSPI1CSPI3UART3[c]UART5[c]SD2CSIAUD6SIM1[d]SIM2[d]その他
1GPIO3_17          
2GPIO3_14         1-WIRE
3GPIO1_14MOSI RXD       
4GPIO1_27 MOSI RXDDAT4D2 CLK0  
5GPIO1_15MISO TXD       
6GPIO1_28 MISO TXDDAT5D3 RST0  
7           
8           
9           
10           
11GPIO1_17SS1 RTS       
12GPIO1_29 SCLK RTSDAT6D4 VEN0  
13GPIO1_18SCLK CTS       
14GPIO1_30 RDY CTSDAT7D5 TX0  
15GPIO1_7 SS2   D8RXC CLK0 
16GPIO1_31 SS0  CMDD6 PD0  
17GPIO4_21 SS3   D9RXFS RST0 
18GPIO1_6 SS1  CLKD7 RX0  
19           
20           
21GPIO1_8    DAT0MCLKTXD VEN0 
22GPIO1_9    DAT1VSYNCRXD TX0 
23GPIO1_10    DAT2HSYNCTXC PD0 
24GPIO1_11    DAT3PIXCLKTXFS RX0 
25GPIO1_16SS0        PWMO2
26GPIO2_22RDY         
27GPIO2_21         CLKO
28GPIO3_15          

[a] マルチプレクスについての詳細は、アットマークテクノ ユーザーズサイトよりダウンロード可能な「i.MX25 Multimedia Applications Processor Reference Manual」を参照してください。

[b] 1ピンごとに異なる信号マルチプレクスを設定可能です。

[c] DTE、DCEモードによらず、TXD、RXD、CTS、RTSの入出力方向は固定です。TXD、CTSは出力、RXD、RTSは入力としてご使用ください。

[d] SIM機能は未検証のため、動作を保証するものではありません。


[警告]

i.MX257のCTS、RTS信号は一般的なUARTの信号と名前が逆になっています。誤接続に注意してください。

6.3.7. CON10(i.MX257 JTAGインターフェース) - 「Armadillo-460」

CON10はJTAGデバッガを接続することができるJTAGインターフェースです。i.MX257のJTAGコントローラに接続されています。

オプション品の「Armadillo-400シリーズ JTAG変換ケーブル」(型番: OP-JC8P25-00)を使用してARM標準20ピンに変換することが可能です。詳しくは付録A Armadillo-400シリーズ JTAG変換ケーブル(OP-JC8P25-00)を参照してください。

表6.27 CON10信号配列 - 「Armadillo-460」

ピン番号信号名I/O機  能
1+3.3V_CPUPower電源(+3.3V_CPU)
2JTAG_TRST*Inテストリセット、i.MX257のTRSTBピンに接続
3JTAG_TDIInテストデータ入力、i.MX257のTDIピンに接続
4JTAG_TMSInテストモード選択、i.MX257のTMSピンに接続
5JTAG_TCKInテストクロック、i.MX257のTCKピンに接続
6JTAG_TDOOutテストデータ出力、i.MX257のTDOピンに接続
7CPU_RESET*In

i.MX257リセット[a]

i.MX257のRESET_Bピンに接続

8GNDPower電源(GND)

[a] CPU_RESET*ピンは、i.MX257のみがリセットされます。基板全体のリセットが必要な場合は、CON8のEXT_RESET*ピンを使用してください。


[警告]

Armadillo-460の出力電流はCON10で最大200mAです。

6.3.8. CON11(LCD拡張インターフェース) - 「Armadillo-460」

CON11はLCD拡張インターフェースです。デジタルRGB入力を持つ液晶パネルモジュールを接続することができます。i.MX257のLCDコントローラ、タッチスクリーンコントローラなどに接続されています。各信号ピンの配列については表6.28「CON11信号配列 - 「Armadillo-460」」を、各信号ピンの初期状態は付録B 拡張インターフェースの初期設定をそれぞれ参照してください。

  • 最大解像度:800×600(18bit)

  • 対応タッチパネル:4線式抵抗膜方式

  • コネクタ形状:FFCコネクタ50ピン(0.5mmピッチ)

[ティップ]

Armadillo-440/460では CON11の信号配列は共通となっており、Armadillo-400 シリーズ LCD 拡張ボードはArmadillo-440/460のどちらにもご使用いただけます。

CON11はマルチプレクスされているため数多くの機能を選択することができます。マルチプレクスされている機能については表6.29「CON11信号マルチプレクス(1~38ピン) - 「Armadillo-460」」および表6.30「CON11信号マルチプレクス(39~50ピン) - 「Armadillo-460」」を参照してください。

Armadillo-460では、搭載するセレクタにより i.MX257の信号をCON11とCON19のどちらかに接続することが可能です。詳細は図6.4「CON11/CON19 接続先セレクタ - 「Armadillo-460」」を参照してください。

セレクタによる接続の選択はCPLDのExt I/F Control Register により設定することができます。CPLDのメモリマップ、レジスタについては、付録E CPLDレジスタ - 「Armadillo-460」を参照してください。

表6.28 CON11信号配列 - 「Armadillo-460」

ピン番号信号名I/O機  能
1VINPower電源(CON12またはCON13の電源入力[a])
2VINPower電源(CON12またはCON13の電源入力[a])
3VINPower電源(CON12またはCON13の電源入力[a])
4+3.3V_IOPower電源(+3.3V_IO)
5+3.3V_IOPower電源(+3.3V_IO)
6GNDPower電源(GND)
7GNDPower電源(GND)
8LCD_LSCLKOuti.MX257のLSCLKピンに接続
9LCD_HSYNOuti.MX257のHSYNCピンに接続
10LCD_VSYNOuti.MX257のVSYNCピンに接続
11LCD_OE_ACDOuti.MX257のOE_ACDピンに接続
12PWMO1Outi.MX257のPWMピンに接続
13LCD_LD0Outi.MX257のLD0ピンに接続
14LCD_LD1Outi.MX257のLD1ピンに接続
15LCD_LD2Outi.MX257のLD2ピンに接続
16LCD_LD3Outi.MX257のLD3ピンに接続
17LCD_LD4Outi.MX257のLD4ピンに接続
18LCD_LD5Outi.MX257のLD5ピンに接続
19GNDPower電源(GND)
20LCD_LD6Outi.MX257のLD6ピンに接続
21LCD_LD7Outi.MX257のLD7ピンに接続
22LCD_LD8Outi.MX257のLD8ピンに接続
23LCD_LD9Outi.MX257のLD9ピンに接続
24LCD_LD10Outi.MX257のLD10ピンに接続
25LCD_LD11Outi.MX257のLD11ピンに接続
26GNDPower電源(GND)
27LCD_LD12Outi.MX257のLD12ピンに接続
28LCD_LD13Outi.MX257のLD13ピンに接続
29LCD_LD14Outi.MX257のLD14ピンに接続
30LCD_LD15Outi.MX257のLD15ピンに接続
31LCD_LD16Outi.MX257のGPIO_Eピンに接続
32LCD_LD17Outi.MX257のGPIO_Fピンに接続
33GNDPower電源(GND)
34TOUCH_XPIn/Outi.MX257のXPピンに接続
35TOUCH_XNIn/Outi.MX257のXNピンに接続
36TOUCH_YPIn/Outi.MX257のYPピンに接続
37TOUCH_YNIn/Outi.MX257のYNピンに接続
38GNDPower電源(GND)
39EXT_IO24In/Out拡張入出力24、i.MX257のDE_Bピンに接続
40EXT_IO25In/Out拡張入出力25、i.MX257のKPP_ROW0ピンに接続
41EXT_IO26In/Out拡張入出力26、i.MX257のKPP_ROW1ピンに接続
42EXT_IO27In/Out拡張入出力27、i.MX257のKPP_ROW2ピンに接続
43EXT_IO28In/Out拡張入出力28、i.MX257のKPP_ROW3ピンに接続
44EXT_IO29In/Out拡張入出力29、i.MX257のKPP_COL0ピンに接続
45EXT_IO30In/Out拡張入出力30、i.MX257のKPP_COL1ピンに接続
46EXT_IO31In/Out拡張入出力31、i.MX257のKPP_COL2ピンに接続
47EXT_IO32In/Out拡張入出力32、i.MX257のKPP_COL3ピンに接続
48EXT_IO33In/Out拡張入出力33、i.MX257のGPIO_Aピンに接続
49EXT_IO34In/Out拡張入出力34、i.MX257のGPIO_Bピンに接続
50GNDPower電源(GND)

[a] J1/J2の電源(+5V)とポリスイッチヒューズ経由で接続されています。


表6.29 CON11信号マルチプレクス(1~38ピン) - 「Armadillo-460」

ピン番号機能[a][b]
LCDCSLCDCADCSIM1[c]SIM2[c]その他
1      
2      
3      
4      
5      
6      
7      
8LSCLKCS  PD1 
9HSYN   VEN1 
10VSYN   TX1 
11OE_ACDRS  RX1 
12     PWMO1
13LD0D0 CLK1  
14LD1D1 RST1  
15LD2D2 VEN1  
16LD3D3 TX1  
17LD4D4 PD1  
18LD5D5 RX1  
19      
20LD6D6  CLK1 
21LD7D7  RST1 
22LD8D8    
23LD9D9    
24LD10D10    
25LD11D11    
26      
27LD12D12    
28LD13D13    
29LD14D14    
30LD15D15    
31LD16     
32LD17     
33      
34  XP   
35  XN   
36  YP   
37  YN   
38      

[a] マルチプレクスについての詳細は、アットマークテクノ ユーザーズサイトよりダウンロード可能な「i.MX25 Multimedia Applications Processor Reference Manual」を参照してください。

[b] 1ピンごとに異なる信号マルチプレクスを設定可能です。

[c] SIM機能は未検証のため、動作を保証するものではありません。


表6.30 CON11信号マルチプレクス(39~50ピン) - 「Armadillo-460」

ピン番号機能[a][b][c]
GPIOUART3[d]UART4[d]AUD5KPPI2C3CAN1その他
39GPIO2_20       
40GPIO2_29RXD  ROW0   
41GPIO2_30TXD  ROW1   
42GPIO2_31RTS RXCROW2   
43GPIO3_0CTS RXFSROW3   
44GPIO3_1 RXDTXDCOL0   
45GPIO3_2 TXDRXDCOL1   
46GPIO3_3 RTSTXCCOL2   
47GPIO3_4 CTSTXFSCOL3   
48GPIO1_0   ROW4SCLTXPWMO2
49GPIO1_1   ROW5SDARXPWMO3
50        

[a] マルチプレクスについての詳細は、アットマークテクノ ユーザーズサイトよりダウンロード可能な「i.MX25 Multimedia Applications Processor Reference Manual」を参照してください。

[b] 1ピンごとに異なる信号マルチプレクスを設定可能です。

[c] Armadillo-460では、CPLD レジスタにより、CON11 ピン番号44〜47 の信号と CON19(シリアルI/F)の信号のどちらかを排他使用することが可能です。

[d] DTE、DCEモードによらず、TXD、RXD、CTS、RTSの入出力方向は固定です。TXD、CTSは出力、RXD、RTSは入力としてご使用ください。


[警告]

i.MX257のCTS、RTS信号は一般的なUARTの信号と名前が逆になっています。誤接続に注意してください。

6.3.9. CON12、 CON13(電源入力) - 「Armadillo-460」

CON12はArmadillo-460では付属するACアダプタ変換ケーブル用の電源入力コネクタです。ACアダプターのジャック形状はEIAJ RC-5320A準拠(電圧区分2)です。図6.5「ACアダプターの極性マーク - 「Armadillo-460」」と同じ極性マークのあるものが使用できます。

ACアダプターの極性マーク - 「Armadillo-460」

図6.5 ACアダプターの極性マーク - 「Armadillo-460」


表6.31 CON12信号配列 - 「Armadillo-460」

ピン番号信号名I/O機  能
1VINPower電源入力端子
2GNDPower電源(GND)
3GNDPower電源(GND)
4+12VPower電源入力端子

[警告]

Armadillo-460 では、CON12の入力電圧範囲はDC4.75V~5.25Vです。5.25V以上の電圧を加えないでください。内部デバイスが破壊する可能性があります。

[警告]

Armadillo-460 では、CON12とJ1/J2 の電源(+5V)はポリスイッチヒューズで接続されていますので、同時に電源供給はできません。どちらか一つのコネクタでのみ電源供給してください。

[ティップ]

CON12の+12Vは拡張バスインターフェースに供給されており、 Armadillo-460の内部回路では使用していません。 拡張バスインターフェースにつながる拡張ボードで+12Vを使用していなければ接続不要です。詳細は図3.9「電源回路構成図 -「Armadillo-460」」を参照してください。

CON13は拡張バスインターフェースにつながる拡張ボードに電源を供給するコネクタです。電源ICをON/OFF制御する信号とArmadillo-460に搭載されているリアルタイムクロックの外部バックアップ用電源入力が含まれています。

表6.32 CON13信号配列 - 「Armadillo-460」

ピン番号信号名I/O機  能
1GNDPower電源(GND)
2BATPowerリアルタイムクロックの外部バックアップ用電源入力
3GNDPower電源(GND)
4PMIC_ONOFF*In

電源ICのON/OFF制御

(2秒以上のGNDショートで電源OFF、 電源OFF時に再度GNDショートで電源ON)[a][b]

5GNDPower電源(GND)
6-5VPower電源入力端子
7GNDPower電源(GND)
8-12VPower電源入力端子

[a] PMIC_ONOFF*は電源入力VINに10kΩ プルアップされています。

[b] Armadillo-460上のRTCのアラーム2割り込み出力とワイヤードオアされています。


[警告]

Armadillo-460 では、CON13とJ1 はポリスイッチヒューズで接続されていますので、同時に電源供給はできません。どちらか一つのコネクタでのみ電源供給してください。

[警告]

CON13(2ピン)の入力電圧範囲は1.5V〜3.5Vです。3.5V以上加えると内部デバイスが正常に動作しなくなる可能性があります。

[警告]

PMIC_ONOFF*信号によりArmadillo-400シリーズを電源OFFにした状態では、CON12の電源を抜いた後すぐに差し直しても電源ICは復帰せずArmadillo-400シリーズは再起動しません。PMIC_ONOFF*信号をGNDにショートすることで、Armadillo-400シリーズはブートを開始します。

[ティップ]

CON13の-5V/-12Vは拡張バスインターフェースに供給されており、 Armadillo-460の内部回路では使用していません。拡張バスインターフェースにつながる拡張ボードで-5V/-12Vを使用していなければ接続不要です。詳細は図3.9「電源回路構成図 -「Armadillo-460」」を参照してください。

6.3.10. CON14(拡張インターフェース2) - 「Armadillo-460」

CON14 は拡張入出力インターフェースです。拡張インターフェース2には、用途によって数多くの機能を選択できるように一つのピンに複数の機能が割り当てられています。各信号ピンの配列については表6.33「CON14信号配列 - 「Armadillo-460」」を、マルチプレクスされている機能については表6.34「CON14信号マルチプレクス - 「Armadillo-460」」を、各信号ピンの初期状態は付録B 拡張インターフェースの初期設定をそれぞれ参照してください。

[ティップ]

Armadillo-400シリーズでは CON8、 CON9 および CON14の信号配列は共通となっており、Armadillo-400シリーズ の オプションモジュールはArmadillo-420/440/460のいずれにもご使用いただけます。

表6.33 CON14信号配列 - 「Armadillo-460」

ピン番号信号名I/O機  能
1+3.3V_IOPower電源(+3.3V_IO)
2GNDPower電源(GND)
3EXT_IO22In/Out拡張入出力22、i.MX257のGPIO_Cピンに接続
4EXT_IO23In/Out拡張入出力23、i.MX257のGPIO_Dピンに接続

表6.34 CON14信号マルチプレクス - 「Armadillo-460」

ピン番号機能[a][b]
GPIOCSPI1I2C2CAN2その他
1     
2     
3GPIO1_2SS2SCLTXPWMO4
4GPIO1_3 SDARX 

[a] マルチプレクスについての詳細は、アットマークテクノ ユーザーズサイトよりダウンロード可能な「i.MX25 Multimedia Applications Processor Reference Manual」を参照してください。

[b] 1ピンごとに異なる信号マルチプレクスを設定可能です。


6.3.11. CON20(RTC外部バックアップ用電源入力) - 「Armadillo-460」

Armadillo-460のCON20 はリアルタイムクロック(RTC) の外部バックアップ用電源入力コネクタです。電源が切断されても長期間時刻データを保持させたい場合には外部バッテリ[8]を接続することができます。

表6.35 CON20信号配列 - 「Armadillo-460」

ピン番号信号名I/O機能
1VDDPower電源入力端子
2GNDPower電源(GND)

[警告]

CON20の入力電圧範囲は1.5V〜3.5Vです。3.5V以上加えると内部デバイスが正常に動作しなくなる可能性があります。

6.3.12. CON21(電源出力) - 「Armadillo-460」

Armadillo-460のCON21 は外部に向けて電源を供給するコネクタです。

表6.36 CON21信号配列 - 「Armadillo-460」

ピン番号信号名I/O機能
1VDDPower電源(+3.3V_EXT[a])
2VDDPower電源(+3.3V_EXT[a])
3GNDPower電源(GND)
4---

[a] Armadillo-460の出力電流はCON1、CON4、CON7、CON19、CON21、CON23、CON25の合計で最大500mAです。


6.3.13. J1、J2(拡張バスインターフェース) - 「Armadillo-460」

J1、J2は拡張バスインターフェースです。i.MX257のレジスタ設定およびCPLD内部のレジスタ設定により、以下3つのモードを選択できます。詳細は「拡張バスの構成 - 「Armadillo-460」」を参照してください。

i.MX257のレジスタの詳細はアットマークテクノ ユーザーズサイトよりダウンロード可能な「i.MX25 Multimedia Applications Processor Reference Manual」を参照してください。

  • PC/104拡張バス互換モード

  • 3.3V高速拡張バスモード(非同期)

  • 3.3V高速拡張バスモード(同期)

Armadillo-460は、PC/104 Specification Version 2.6を元に開発されています。PC/104規格についてはhttp://www.pc104.orgをご確認ください。

6.3.13.1. PC/104拡張バス互換モード設定時の信号配列 - 「Armadillo-460」

PC/104拡張バス互換モードに設定した時の信号配列は次のとおりです。

表6.37 PC/104拡張バス互換モードのJ1信号配列(1) - 「Armadillo-460」

ピン番号信号名I/O機能
A1IOCHK*(In)非サポート(未接続)[a]
A2SD7In/Outデータバス(bit7)
A3SD6In/Outデータバス(bit6)
A4SD5In/Outデータバス(bit5)
A5SD4In/Outデータバス(bit4)
A6SD3In/Outデータバス(bit3)
A7SD2In/Outデータバス(bit2)
A8SD1In/Outデータバス(bit1)
A9SD0In/Outデータバス(bit0)
A10IOCHRDYInアクセスサイクル延長(V_PC104で1kΩプルアップ)[a]
A11AENOutバス開放(GND)
A12SA19Outアドレスバス(bit19)
A13SA18Outアドレスバス(bit18)
A14SA17Outアドレスバス(bit17)
A15SA16Outアドレスバス(bit16)
A16SA15Outアドレスバス(bit15)
A17SA14Outアドレスバス(bit14)
A18SA13Outアドレスバス(bit13)
A19SA12Outアドレスバス(bit12)
A20SA11Outアドレスバス(bit11)
A21SA10Outアドレスバス(bit10)
A22SA9Outアドレスバス(bit9)
A23SA8Outアドレスバス(bit8)
A24SA7Outアドレスバス(bit7)
A25SA6Outアドレスバス(bit6)
A26SA5Outアドレスバス(bit5)
A27SA4Outアドレスバス(bit4)
A28SA3Outアドレスバス(bit3)
A29SA2Outアドレスバス(bit2)
A30SA1Outアドレスバス(bit1)
A31SA0Outアドレスバス(bit0)
A32GNDPower電源(GND)

[a] プルアップやプ ルダウンで論理を固定していたり、未接続であることを括弧内の表示で示しています。論理を固定している場合、すべてArmadillo-460の基板上に搭載された抵抗でおこなっています。


表6.38 PC/104拡張バス互換モードのJ1信号配列(2) - 「Armadillo-460」

ピン番号信号名I/O機能
B1GNDPower電源(GND)
B2RESETOutリセット出力
B3+5VPower電源(+5V)
B4IRQ9In割り込みリクエスト9(V_PC104で10kΩプルアップ)[a]
B5-5VPower電源(-5V)
B6DRQ2(In)非サポート(未接続)[a]
B7-12VPower電源(-12V)
B8SRDY*(In)非サポート(V_PC104で300Ωプルアップ)[a]
B9+12VPower電源(+12V)
B10KEY-GND
B11SMEMW*Outメモリライトストローブ
B12SMEMR*Outメモリリードストローブ
B13IOW*Outライトストローブ
B14IOR*Outリードストローブ
B15DACK3*(Out)非サポート(V_PC104で10kΩプルアップ)[a]
B16DRQ3(In)非サポート(未接続)[a]
B17DACK1*(Out)非サポート(V_PC104で10kΩプルアップ)[a]
B18DRQ1(In)非サポート(未接続)[a]
B19REFRESH*(Out)非サポート(V_PC104で10kΩプルアップ)[a]
B20BCLKOut8.3MHz(BUSクロック133MHzの1/16)
B21IRQ7In割り込みリクエスト7(V_PC104で10kΩプルアップ)[a]
B22IRQ6In割り込みリクエスト6(V_PC104で10kΩプルアップ)[a]
B23IRQ5In割り込みリクエスト5(V_PC104で10kΩプルアップ)[a]
B24IRQ4In割り込みリクエスト4(V_PC104で10kΩプルアップ)[a]
B25IRQ3In割り込みリクエスト3(V_PC104で10kΩプルアップ)[a]
B26DACK2*(Out)非サポート(V_PC104で10kΩプルアップ)[a]
B27TC(Out)非サポート(V_PC104で10kΩプルアップ)[a]
B28BALEOutアドレスラッチイネーブル
B29+5VPower電源(+5V)
B30OSC(Out)非サポート(未接続)[a]
B31GNDPower電源(GND)
B32GNDPower電源(GND)

[a] プルアップやプ ルダウンで論理を固定していたり、未接続であることを括弧内の表示で示しています。論理を固定している場合、すべてArmadillo-460の基板上に搭載された抵抗でおこなっています。


表6.39 PC/104拡張バス互換モードのJ2信号配列(1) - 「Armadillo-460」

ピン番号信号名I/O機能
C0GNDPower電源(GND)
C1SBHE*Out

バスハイイネーブル

(データバス上位8bit使用時にアクティブ)

C2LA23Outアドレスバス(23bit)
C3LA22Outアドレスバス(22bit)
C4LA21Outアドレスバス(21bit)
C5LA20Outアドレスバス(20bit)
C6LA19Outアドレスバス(19bit)
C7LA18Outアドレスバス(18bit)
C8LA17Outアドレスバス(17bit)
C9MEMR*Outメモリリードストローブ
C10MEMW*Outメモリライトストローブ
C11SD8In/Outデータバス(bit8)
C12SD9In/Outデータバス(bit9)
C13SD10In/Outデータバス(bit10)
C14SD11In/Outデータバス(bit11)
C15SD12In/Outデータバス(bit12)
C16SD13In/Outデータバス(bit13)
C17SD14In/Outデータバス(bit14)
C18SD15In/Outデータバス(bit15)
C19KEY-GND

表6.40 PC/104拡張バス互換モードのJ2信号配列(2) - 「Armadillo-460」

ピン番号信号名I/O機能
D0GNDPower電源(GND)
D1MEMCS16*(In)非サポート(V_PC104で300Ωプルアップ)[a]
D2IOCS16*(In)非サポート(V_PC104で300Ωプルアップ)[a]
D3IRQ10In割り込みリクエスト10(V_PC104で10kΩプルアップ)[a]
D4IRQ11In割り込みリクエスト11(V_PC104で10kΩプルアップ)[a]
D5IRQ12In割り込みリクエスト12(V_PC104で10kΩプルアップ)[a]
D6IRQ15In割り込みリクエスト15(V_PC104で10kΩプルアップ)[a]
D7IRQ14In割り込みリクエスト14(V_PC104で10kΩプルアップ)[a]
D8DACK0*(Out)非サポート(V_PC104で10kΩプルアップ)[a]
D9DRQ0(In)非サポート(未接続)[a]
D10DACK5*(Out)非サポート(V_PC104で10kΩプルアップ)[a]
D11DRQ5(In)非サポート(未接続)[a]
D12DACK6*(Out)非サポート(V_PC104で10kΩプルアップ)[a]
D13DRQ6(In)非サポート(未接続)[a]
D14DACK7*(Out)非サポート(V_PC104で10kΩプルアップ)[a]
D15DRQ7(In)非サポート(未接続)[a]
D16+5VPower電源(+5V)
D17MASTER16*(In)非サポート(V_PC104で300Ωプルアップ)[a]
D18GNDPower電源(GND)
D19GNDPower電源(GND)

[a] プルアップやプルダウンで論理を固定していたり、未接続であることを括弧内の表示で示しています。論理を固定している場合、すべてArmadillo-460の基板上に搭載された抵抗でおこなっています。


6.3.13.2. 3.3V高速拡張バスモード設定時の信号配列 - 「Armadillo-460」

3.3V高速拡張バスモードに設定した時の信号配列は次のとおりです。

表6.41 3.3V高速拡張バスモードのJ1信号配列(1) - 「Armadillo-460」

ピン番号信号名I/O機能
A1--Reserved(未接続)[a]
A2SD7In/Outデータバス(bit7)
A3SD6In/Outデータバス(bit6)
A4SD5In/Outデータバス(bit5)
A5SD4In/Outデータバス(bit4)
A6SD3In/Outデータバス(bit3)
A7SD2In/Outデータバス(bit2)
A8SD1In/Outデータバス(bit1)
A9SD0In/Outデータバス(bit0)
A10DTACK*In非同期 : Wait信号、i.MX257のDTACK*ピンに接続(V_PC104で1kΩプルアップ)[a]
RDY*同期 : Ready信号、i.MX257のDTACK*ピンに接続(V_PC104で1kΩプルアップ)[a]
A11--Reserved(GND)
A12SA19Outアドレスバス(bit19)
A13SA18Outアドレスバス(bit18)
A14SA17Outアドレスバス(bit17)
A15SA16Outアドレスバス(bit16)
A16SA15Outアドレスバス(bit15)
A17SA14Outアドレスバス(bit14)
A18SA13Outアドレスバス(bit13)
A19SA12Outアドレスバス(bit12)
A20SA11Outアドレスバス(bit11)
A21SA10Outアドレスバス(bit10)
A22SA9Outアドレスバス(bit9)
A23SA8Outアドレスバス(bit8)
A24SA7Outアドレスバス(bit7)
A25SA6Outアドレスバス(bit6)
A26SA5Outアドレスバス(bit5)
A27SA4Outアドレスバス(bit4)
A28SA3Outアドレスバス(bit3)
A29SA2Outアドレスバス(bit2)
A30SA1Outアドレスバス(bit1)
A31SA0Outアドレスバス(bit0)
A32GNDPower電源(GND)

[a] プルアップやプルダウンで論理を固定していたり、未接続であることを括弧内の表示で示しています。論理を固定している場合、すべてArmadillo-460の基板上に搭載された抵抗でおこなっています。


表6.42 3.3V高速拡張バスモードのJ1信号配列(2) - 「Armadillo-460」

ピン番号信号名I/O機能
B1GNDPower電源(GND)
B2RESETOutリセット出力
B3+5VPower電源(+5V)
B4IRQ9In割り込みリクエスト9(V_PC104で10kΩプルアップ)[a]
B5-5VPower電源(-5V)
B6--Reserved(未接続)[a]
B7-12VPower電源(-12V)
B8--Reserved(V_PC104で300Ωプルアップ)[a]
B9+12VPower電源(+12V)
B10--Reserved(GND)
B11RW*Outリードライト、i.MX257のRW*に接続
B12OE*Outアウトプットイネーブル、i.MX257のOE*に接続
B13CS4*Outチップセレクト4、i.MX257のCS4*に接続
B14CS3*Outチップセレクト3、i.MX257のCS3*に接続
B15--Reserved(V_PC104で10kΩプルアップ)[a]
B16--Reserved(未接続)[a]
B17--Reserved(V_PC104で10kΩプルアップ)[a]
B18--Reserved(未接続)[a]
B19--Reserved(V_PC104で10kΩプルアップ)[a]
B20SYSCLKOut66MHz(BUSクロック133MHzの1/2)
B21IRQ7In割り込みリクエスト7V_PC104で(V_PC104で10kΩプルアップ)[a]
B22IRQ6In割り込みリクエスト6(V_PC104で10kΩプルアップ)[a]
B23IRQ5In割り込みリクエスト5(V_PC104で10kΩプルアップ)[a]
B24IRQ4In割り込みリクエスト4(V_PC104で10kΩプルアップ)[a]
B25IRQ3In割り込みリクエスト3(V_PC104で10kΩプルアップ)[a]
B26--Reserved(V_PC104で10kΩプルアップ)[a]
B27--Reserved(V_PC104で10kΩプルアップ)[a]
B28--Reserved(GND)
B29+5VPower電源(+5V)
B30--Reserved(未接続)
B31GNDPower電源(GND)
B32GNDPower電源(GND)

[a] プルアップやプルダウンで論理を固定していたり、未接続であることを括弧内の表示で示しています。論理を固定している場合、すべてArmadillo-460の基板上に搭載された抵抗でおこなっています。


表6.43 3.3V高速拡張バスモードのJ2信号配列(1) - 「Armadillo-460」

ピン番号信号名I/O機能
C0GNDPower電源(GND)
C1EB1*Out

イネーブルバイト1

i.MX257 の EB1*に接続

(データバス上位8bit使用時にアクティブ)

C2SA23Outアドレスバス(23bit)
C3SA22Outアドレスバス(22bit)
C4SA21Outアドレスバス(21bit)
C5SA20Outアドレスバス(20bit)
C6SA19Outアドレスバス(19bit)
C7SA18Outアドレスバス(18bit)
C8SA17Outアドレスバス(17bit)
C9OE*Outアウトプットイネーブル、i.MX257のOE*に接続
C10RW*Outリードライト、i.MX257のRW*に接続
C11SD8In/Outデータバス(bit8)
C12SD9In/Outデータバス(bit9)
C13SD10In/Outデータバス(bit10)
C14SD11In/Outデータバス(bit11)
C15SD12In/Outデータバス(bit12)
C16SD13In/Outデータバス(bit13)
C17SD14In/Outデータバス(bit14)
C18SD15In/Outデータバス(bit15)
C19--Reserved(GND)

表6.44 3.3V高速拡張バスモードのJ2信号配列(2) - 「Armadillo-460」

ピン番号信号名I/O機能
D0GNDPower電源(GND)
D1--Reserved(V_PC104で300Ωプルアップ)[a]
D2--Reserved(V_PC104で300Ωプルアップ)[a]
D3IRQ10In割り込みリクエスト10(V_PC104で10kΩプルアップ)[a]
D4IRQ11In割り込みリクエスト11(V_PC104で10kΩプルアップ)[a]
D5IRQ12In割り込みリクエスト12(V_PC104で10kΩプルアップ)[a]
D6IRQ15In割り込みリクエスト15(V_PC104で10kΩプルアップ)[a]
D7IRQ14In割り込みリクエスト14(V_PC104で10kΩプルアップ)[a]
D8--Reserved(V_PC104で10kΩプルアップ)[a]
D9--Reserved(未接続)[a]
D10--Reserved(V_PC104で10kΩプルアップ)[a]
D11Reserved-未接続
D12--Reserved(V_PC104で10kΩプルアップ)[a]
D13--Reserved(未接続)[a]
D14--Reserved(V_PC104で10kΩプルアップ)[a]
D15--Reserved(未接続)[a]
D16+5VPower電源(+5V)
D17--Reserved(V_PC104で300Ωプルアップ)[a]
D18GNDPower電源(GND)
D19GNDPower電源(GND)

[a] プルアップやプルダウンで論理を固定していたり、未接続であることを括弧内の表示で示しています。論理を固定している場合、すべてArmadillo-460の基板上に搭載された抵抗でおこなっています。


6.3.13.3. 拡張バスの構成 - 「Armadillo-460」

Armadillo-460では搭載するCPLDにより拡張バスを実現しています。CPLDのメモリマップ、レジスタについては、付録E CPLDレジスタ - 「Armadillo-460」を参照してください。

6.3.13.3.1. 割り込み

Armadillo-460 拡張バスの割り込みコントローラはCPLDに組み込まれており、割り込み信号(IRQ3、IRQ4、IRQ5、IRQ6、IRQ7、IRQ9、IRQ10、IRQ11、IRQ12、IRQ14、IRQ15)が接続されています。

割り込み信号で検出可能な割り込みの種類を表6.45「割り込み検出の種類」に示します。IRQ3~IRQ7、IRQ9〜IRQ11は、割り込み検出の種類(LEVEL-HIGH、LEVEL-LOW、RISING-EDGE、FALLING-EDGE)を選択することができます。IRQ12、IRQ14、IRQ15はLEVEL-HIGH固定です。

表6.45 割り込み検出の種類

IRQ番号LEVEL-HIGHLEVEL-LOWFALLING-EDGERISING-EDGE
IRQ3
IRQ4
IRQ5
IRQ6
IRQ7
IRQ9
IRQ10
IRQ11
IRQ12×××
IRQ14×××
IRQ15×××

割り込みコントローラの仕組みを図6.6「割り込みコントローラの仕組み」に示します。IRQ3~IRQ7、IRQ9〜IRQ11は、Ext Interrupt Polarity Type Register(POL)とExt Interrupt Detection Type Register(DET)により割り込み検出の種類を選択することができます。割り込み検出をLEVELに設定した場合はそのままの値を確認でき、EDGEに設定した場合はフリップフロップ(FF)に保持した値を確認できます。FFの値はCLR信号が入力されるまで保持されます。割り込みの状態はExt Interrupt Status Register(IRQ)により確認できます。これらの割り込み信号はInterrupt Mask Register(MASK)によりマスク処理され、すべての割り込み信号のORをとって、CPUに割り込みが通知されます。

割り込みコントローラの仕組み

図6.6 割り込みコントローラの仕組み


6.3.13.3.2. 拡張バスのモード設定

Armadillo-460の拡張バスはExt Control Registerにより、以下3つのモードを選択できます。

  • PC/104拡張バス互換モード

  • 3.3V高速拡張バスモード(非同期)

  • 3.3V高速拡張バスモード(同期)

6.3.13.3.2.1. PC/104拡張バス互換モード

PC/104拡張バス互換モードに設定した場合、J1、J2はPC/104バス配列を採用した拡張バスとなり、64KBのI/O空間と16MBのメモリ空間を持ちます。ただし、ARMアーキテクチャはx86系のCPUのようなI/O空間(I/O専用のアクセス)を持っていないため、通常のメモリ空間にI/O空間を配置しています。また、PC/104規格のサブセットであるため、通常のPC/104バスがもっている以下の機能に対応していません。

  • ダイナミックバスサイジング機能

  • DMA(DREQ/DACK)機能

  • 外部マスター機能

PC/104拡張バス互換モードではダイナミックバスサイジング機能を備えていないため、PC/104のI/O空間またはメモリ空間にアクセスする際には注意が必要です。64KBのI/O物理アドレス空間と16MBのメモリ物理アドレス空間を持っており、I/O、メモリのそれぞれの物理アドレス空間には2つの仮想アドレス空間(8bit、16bit)からアクセスすることができますが、どちらの仮想アドレス空間を使っても同じ物理アドレス空間をアクセスすることになります。

[警告]

i.MX257のErratta ENGcm11270の制約により、AUS(Address Unshifted mode)を指定した場合にA[23]が使用できません。そのため、PC/104拡張バスモードあるいは3.3V高速拡張バスモードの設定によっては、アドレス空間が8MByteに制限される場合があります。各モードで利用可能なアドレス空間については、表4.1「物理メモリマップ -「Armadillo-420/440」」および表4.3「3.3V高速拡張バスモード時にアクセス可能なCS3/CS4空間」でご確認ください。該当エラッタについては、アットマークテクノ ユーザーズサイトよりダウンロード可能な「Chip Errata for the i.MX25」のENGcm11270を参照してください。

それぞれの仮想アドレス空間の使い分けは次のとおりです。

8bit仮想アドレス空間・データバス(SD7~SD0)を使用して8bitアクセスする
16bit仮想アドレス空間

・データバス(SD15~SD8)を使用して奇数アドレス番地に8bitアクセスする

・データバス(SD7~SD0)を使用して偶数アドレス番地に8bitアクセスする

・データバス(SD15~SD0)を使用して16bitアクセスする

PC/104拡張バス互換モード時のメモリ空間

図6.7 PC/104拡張バス互換モード時のメモリ空間


[警告]

i.MX257はErratta ENGcm11270の制約により、AUS(Address Unshifted mode)を指定した場合にA[23]が使用できません。

このため16bit-メモリ仮想アドレス空間から物理アドレス空間にアクセスする場合、8MByteの物理アドレス空間のアクセスが制約されます。16MBの物理アドレス空間にアクセスするには、8bit-メモリ仮想アドレス空間からアクセスしてください。

該当エラッタについては、アットマークテクノ ユーザーズサイトよりダウンロード可能な「Chip Errata for the i.MX25」のENGcm11270を参照してください。

物理アドレス空間にアクセスするためのアドレスは、以下のようにBase Address + Offset Address で算出できます。

PC/104拡張バス互換モード時のバスへのアクセス方法

図6.8 PC/104拡張バス互換モード時のバスへのアクセス方法


SBHE*はデータバス(SD15 ~ SD8)を使用していることを示すActive-Low の信号です。データアクセスとSBHE*の関係を表6.46「SBHE*とデータアクセスの関係」に示します。

[警告]

下位8bitリードアクセスの際はデータバス(SD7 ~ SD0)を使用しますが、SBHE*はLow レベルですのでご注意ください。

表6.46 SBHE*とデータアクセスの関係

データアクセスSBHE*
16bit(SD15~SD0)ReadLow
Write
上位8bit(SD15~SD8)ReadLow
Write
下位8bit(SD7~SD0)ReadLow
WriteHigh

PC/104拡張バス互換モードのバスアクセスタイミングは次の通りです。

PC/104拡張バス互換モードのバスアクセスタイミング

図6.9 PC/104拡張バス互換モードのバスアクセスタイミング


BCLKは8.3MHzのクロック出力です。IOR*、IOW*、MEMR*、MEMW*のアサート後70ns以内にIOCHRDYをアサートすることでアクセスサイクルを延長できます。IOR*、MEMR*、IOW*、MEMW*のパルス幅はWSCによって変更することができ、通常約240ns(WSC=25)に設定されていますが、約120ns(WSC=9)~約518ns(WSC=62)まで変更することができます。

WSCについては、アットマークテクノ ユーザーズサイトよりダウンロード可能な「i.MX25 Multimedia Applications Processor Reference Manual」の49章 Wireless External Interface Moduleを参照してください。

表6.47 PC/104拡張バス互換モードのバスアクセスタイミング

記号説明min(ns)max(ns)備考

tclk

BCLKの周期120  

tas

IOR*、MEMR*、IOW*、MEMW*アサートに対するSA、LA、SBHE*のセットアップ時間124  

tab

BALEディアサートに対するSA、LA、SBHE*のセットアップ時間124  

tah

IOR*、MEMR*、IOW*、MEMW*アサートに対するSA、LA、SBHE*のホールド時間33  

tbale

BALEのパルス幅75  

trw

IOR*、MEMR*、IOW*、MEMW*のパルス幅120525

出荷イメージでは240ns (typ.)

(WSC+1)×7.5-45ns (typ.)

trds

Read時のSDのセットアップ時間32  

trdh

Read時のSDのホールド時間0  

twds

Write時のSDのセットアップ時間103  

twdh

Write時のSDのホールド時間32  

trwrdy

IOR*、MEMR*、IOW*、MEMW*アサートに対するIOCHRDYアサート有効時間 70 

trdy

IOCHRDYのパルス幅125

(7470-WSC×7.5)/n[a]

maxの値を越えるとシステムリセットが発生

trwh

IOCHRDYディアサートに対するIOR*、MEMR*、IOW*、MEMW*のホールド時間22428(WSC+1)×7.5-56.3ns (typ.)

[a] n=連続アクセス回数(ex. 8bit空間にWordアクセスした場合n=4、HalfWordアクセスした場合n=2)


6.3.13.3.2.2. 3.3V高速拡張バスモード(非同期)

3.3V高速拡張バスモード(非同期)に設定した場合、CS3(0xB2000000~0xB3FFFFFF)、CS4(0xB4000000~0xB5FFFFFF)空間を持ちます。データ幅はWireless External Interface Module(WEIM)の設定により8bit、16bitの選択ができます。SYSCLKから66MHzを出力し、EB1*、CS3*、CS4*、OE*、RW*をスルーで出力します。また、DTACK*によりアクセスサイクルを延長することができます。

WEIMの設定については、アットマークテクノ ユーザーズサイトよりダウンロード可能な「i.MX25 Multimedia Applications Processor Reference Manual」の49章 Wireless External Interface Moduleおよび「i.MX25 Applications Processor for Consumer and Industrial Products」の3.7.63章 Wireless External Interface Module Timingを参照してください。

WEIMの設定により自由にタイミングを変更できますが、CPU(i.MX257)と入出力ピン(J1、J2)の間にはCPLDとバッファを配置しており遅延が生じるため、遅延分を考慮する必要があります。また、CS3*、CS4*でデータバッファのイネーブル/ディスエーブルを設定し、RW*で方向切り替えているため、注意が必要です。

CPUから入出力ピン(J1、J2)までの配線

図6.10 CPUから入出力ピン(J1、J2)までの配線


CPLD、Bufferによる入出力遅延は次のとおりです。

表6.48 CPU(i.MX257)と入出力ピン(J1、J2)の間の遅延

信号名Buffer ACPLDBuffer B
min(ns)max(ns)min(ns)max(ns)min(ns)max(ns)
DTACK*---7.6--
SYSCLK0.72.5-7.617.4
EB1*---7.517.4
CS3*---7.617.4
CS4*---7.617.4
OE*---11.917.4
RW*---11.917.4
SA----17.4
SD(CPU to J1、J2)----17.4
SD(J1、J2 to CPU)----0.723.4

表6.49 バッファのイネーブル/ディスエーブルが反映されるまでの時間

バッファCS3* or CS4* から EN*CPU to J1、J2J1、J2 to CPU
min(ns)max(ns)min(ns)max(ns)min(ns)max(ns)
イネーブル-7.80.423.71.212.6
ディスエーブル1.529.31.712

6.3.13.3.2.3. 3.3V高速拡張バスモード(同期)

3.3V高速拡張バスモード(同期)に設定した場合、CS3(0xB2000000~0xB3FFFFFF)空間を持ちます。データ幅はWireless External Interface Module(WEIM)の設定により8bit、16bitの選択ができます。SYSCLKから66MHzを出力し、EB1*、CS3*、RW*をCPLD内部でSYSCLKに同期して出力します。

WEIMの設定については、アットマークテクノ ユーザーズサイトよりダウンロード可能な「i.MX25 Multimedia Applications Processor Reference Manual」の49章 Wireless External Interface Moduleを参照してください。

[警告]

3.3V高速拡張バスモード(同期)はCS4空間を使用できません。

SYSCLKはExt Bus Control Registerにより反転することができます。また、RDY*でアクセスサイクルを最大127クロックまで延長することができます。RDY*はプッシュプルで入力し、使用しない場合は常にLowレベルしておいてください。

EB1*はデータバス(SD15 ~ SD8)を使用していることを示すActive-Low の信号です。データバス(SD7 ~ SD0)を使用していることは、アドレスの最下位ビット(SA0)で判別できます。データアクセスとEB1*およびSA0の関係を表6.50「EB1*、SA0とデータアクセスの関係」に示します。

[警告]

下位8bitリードアクセスの際はデータバス(SD7 ~ SD0)を使用しますが、EB1*はLow レベルですのでご注意ください。

表6.50 EB1*、SA0とデータアクセスの関係

データアクセスEB1*SA0
16bit(SD15~SD0)ReadLowLow
Write
上位8bit(SD15~SD8)ReadLowHigh
Write
下位8bit(SD7~SD0)ReadLowLow
WriteHigh

入力信号のタイミングは次のとおりです。

入力信号のタイミング

図6.11 入力信号のタイミング


表6.51 入力信号のタイミング

記号説明min(ns)max(ns)

trds

クロックに対するSD15~SD0のセットアップ時間31.4-

trdh

クロックに対するSD15~SD0のホールド時間0-

trdys

クロックに対するRDY*のセットアップ時間8.7-

trdyh

クロックに対するRDY*のホールド時間0-

出力信号のタイミングは以下のとおりです。

出力信号のタイミング

図6.12 出力信号のタイミング


表6.52 出力信号のタイミング

記号説明min(ns)max(ns)

twdd

クロックに対するSD15~SD0の遅延-9.2

tsigd

クロックに対するEB1*、CS3*、RW*の遅延-9

RDY*を使用する場合のRead時のバスアクセスタイミングの例は次の通りです。

RDY*を使用する場合の3.3V高速拡張バスモード(同期)のバスアクセスタイミング(Read)

図6.13 RDY*を使用する場合の3.3V高速拡張バスモード(同期)のバスアクセスタイミング(Read)


1

CPU: SA23~SA0から有効アドレスを出力し、CS3*とEB1*(データ幅16bitの場合)をアサート

2

デバイス: アドレスをラッチし、データの出力準備が整ったらRDY*をアサート

3

CPU: RDY*アサートをクロックの立ち上がりエッジで検出

4

デバイス: SD15~SD0から有効データを出力

5

CPU: RDY*アサートの3クロック後の立ち上がりエッジでデータをラッチ

6

CPU: すべての信号をディアサート

7

デバイス: 有効データの出力をやめ、RDY*をディアサート

RDY*を使用する場合のWrite時のバスアクセスタイミングの例は次の通りです。

RDY*を使用する場合の3.3V高速拡張バスモード(同期)のバスアクセスタイミング(Write)

図6.14 RDY*を使用する場合の3.3V高速拡張バスモード(同期)のバスアクセスタイミング(Write)


1

CPU: SA23~SA0から有効アドレスを出力し、CS3*とRW*とEB1*(SD15~SD7使用時)をアサート

2

CPU: SD15~SD0から有効データ出力

3

デバイス: アドレスをラッチし、データの取得準備ができたらRDY*をアサート

4

CPU: RDY*アサートをクロックの立ち上がりエッジで検出

5

デバイス: データを取得

6

CPU: RDY*アサートの3クロック後にすべての信号をディアサート

7

デバイス: RDY*ディアサート

RDY*を使用しない場合は4クロックでサイクルが終了します。

6.3.14. LED1、 LED2(LAN LED) - 「Armadillo-460」

LED1、 LED2はLANインターフェースのステータスLEDです。CON2の上部に表示されます。

表6.53 LAN LEDの動作 - 「Armadillo-460」

LED名称(色)点  灯消  灯
LED1リンクLED(緑色)LANケーブルが接続されており、10BASE-Tまたは100BASE-TXのリンクが確立されている。LANケーブルが接続されていないか、接続している機器のLAN状態がアクティブな状態ではない。
LED2アクティビティLED(黄色)データ送受信時非データ送受信時

6.3.15. LED3、 LED4、 LED5(ユーザーLED) - 「Armadillo-460」

LED3、 LED4、 LED5は、ユーザー側で自由に利用できるLEDです。LEDに接続されたi.MX257の信号がGPIOの出力モードに設定されている場合に制御できます。

表6.54 ユーザーLEDの機能 - 「Armadillo-460」

LED名称(色)機  能
LED3ユーザーLED(赤色)

i.MX257のNFALE(GPIO3_28)ピンに接続

(Low:消灯、High:点灯)

LED4ユーザーLED(緑色)

i.MX257のNFCLE(GPIO3_29)ピンに接続

(Low:消灯、High:点灯)

LED5ユーザーLED(黄色)

i.MX257のBOOT_MODE0(GPIO4_30)ピンに接続

(Low:消灯、High:点灯)


[警告]

LED5にはJP1と共通の信号が接続されています。JP1がショート状態ではLED5を制御することができません。

6.3.16. SW1、 SW3、 CON22(ユーザースイッチ) - 「Armadillo-460」

SW1はユーザー側で自由に利用できるスイッチです。i.MX257のGPIO3_30に接続されています。スイッチに接続されたi.MX257の信号がGPIOの入力モードに設定されている場合にスイッチ状態を取得できます。Armadillo-460のSW3、 CON22にはSW1と共通の信号が接続されています。

表6.55 ユーザースイッチの機能 - 「Armadillo-460」

SW機  能
SW1、 SW3

i.MX257のNFWP_B(GPIO3_30)ピンに接続

(Low:押された状態、High:押されていない状態)


表6.56 CON22信号配列 - 「Armadillo-460」

ピン番号信号名I/O機能
1SW1In/Outユーザースイッチ信号
2GNDPower電源(GND)
3JP1PUOut3.3V_CPUで390Ωプルアップ、JP1(2ピン)と共通
4JP1Ini.MX257 のBOOT_MODE0(GPIO4_30)ピンに接続(10kΩプルダウン)、JP1(1ピン)と共通
5JP2Ini.MX257 のNFC_CE0(GPIO3_22)ピンに接続(3.3V_CPUで10kΩプルアップ)、JP2(2ピン)と共通
6GNDPower電源(GND)

6.3.17. JP1(起動モード設定ジャンパ) - 「Armadillo-460」

JP1はArmadillo-400シリーズの起動モードを設定するジャンパです。電源投入時のジャンパの状態によって起動モードが変更されます。

表6.57 起動モード設定ジャンパの状態 - 「Armadillo-460」

JP1動  作
オープンオンボードフラッシュメモリブート
ショートUARTブート:UART2(CON3またはCON4)

表6.58 JP1信号配列 - 「Armadillo-460」

ピン番号信号名I/O機能
1JP1Ini.MX257 のBOOT_MODE0(GPIO4_30)ピンに接続(10kΩプルダウン)、CON22(4ピン)と共通
2JP1PUOut3.3V_CPUで390Ωプルアップ、CON22(3ピン)と共通

[警告]

JP1はLED5と共通の信号が接続されています。オンボードフラッシュメモリブート後にJP1をショート状態で使用しないでください。

6.3.18. JP2(ユーザージャンパ) - 「Armadillo-460」

JP2はユーザー側で自由に利用できるジャンパです。ジャンパに接続されたi.MX257の信号がGPIOの入力モードに設定されている場合にジャンパ状態を取得できます。

表6.59 ユーザージャンパの機能 - 「Armadillo-460」

JP機  能
JP2

i.MX257のNF_CE0(GPIO3_22)ピンに接続

(Low:ショート状態、High:オープン状態)


表6.60 JP2信号配列 - 「Armadillo-460」

ピン番号信号名I/O機能
1GNDPower電源(GND)
2JP2Ini.MX257 のNFC_CE0(GPIO3_22)ピンに接続(3.3V_CPUで10kΩプルアップ)、CON22(5ピン)と共通

6.3.19. オンボードリアルタイムクロック - 「Armadillo-460」

Armadillo-460にはセイコーインスツル社製のリアルタイムクロック(以下、RTC)が搭載されています。RTCは積層セラミックコンデンサにより、電源切断後も数分動作することが可能です。長時間電源が切断されても時刻データを保持させたい場合は、別途外部バッテリを接続することができます。

RTCの主な仕様は次の通りです。

表6.61 RTC仕様 - 「Armadillo-460」

リアルタイムクロック(RTC) セイコーインスツル社製RTC「S-35390A」搭載
バックアップ

300秒(Typ.)、60秒(Min.)

RTC外部バックアップ用電源コネクタ(CON20)経由で外部バッテリを接続可能

電源電圧 DC2.0~3.5V

図6.15「オンボードリアルタイムクロックの電源構成 - 「Armadillo-460」」にオンボードリアルタイムクロックの電源構成を示します。オンボードリアルタイムクロックの電源にはArmadillo-460の内部電源(+3.3V_CPU),CON13,CON20が接続されており、CON13およびCON20には外部バッテリが接続可能です。

オンボードリアルタイムクロックの電源構成 - 「Armadillo-460」

図6.15 オンボードリアルタイムクロックの電源構成 - 「Armadillo-460」


[警告]

RTCの平均月差は、周囲温度25℃で±30秒程度(参考値)です。時間精度は、周囲温度に大きく影響を受けますので、ご使用の際は十分に特性の確認をお願いします。

[警告]

RTCバックアップ時間は、周囲温度、電圧印加時間等に大きく影響を受けますので、ご使用の際は十分に特性の確認をお願いします。

6.3.19.1. オンボードリアルタイムクロックの構成 - 「Armadillo-460」

CPLDのRTC Control RegisterはRTCのデータ(RTC_SDA)とクロック(RTC_SCL)の制御を行います。RTCと接続するCPLDのピンはスリーステートバッファ出力であり、RTC Control Registerに'0'を設定するとLowレベルの信号を出力し、'1'を設定するとハイインピーダンス状態になり入力を受け付けることが可能となります。

CPLDのメモリマップ、レジスタについては、付録E CPLDレジスタ - 「Armadillo-460」を参照してください。

オンボードリアルタイムクロックとCPLDの接続 - 「Armadillo-460」

図6.16 オンボードリアルタイムクロックとCPLDの接続 - 「Armadillo-460」




[8] 対応バッテリ例: CR2032 WK11。詳しくは、各Armadillo販売代理店にお問い合わせください。