第7章 設計情報

7.1. 参考回路例

7.1.1. GPIO

CON9、 CON11(Armadillo-440/460)、 CON14の信号を汎用入出力(GPIO)として使用する場合の参考回路を図7.1「GPIOの参考回路例」に示します。

[警告]

参考回路は動作を保証するものではありません。実際のアプリケーションで十分な評価の上、定数等を設定してください。

GPIOの参考回路例

図7.1 GPIOの参考回路例


7.1.2. キーパッド

CON11のキーパッド信号を使用する場合の参考回路を図7.2「キーパッド信号の参考回路例」に示します。

キーパッド信号の参考回路例

図7.2 キーパッド信号の参考回路例


7.1.3. CAN

CON9のCAN2信号[9]を使用する場合の参考回路 [10]図7.3「CAN信号の参考回路例」に示します。

CAN信号の参考回路例

図7.3 CAN信号の参考回路例


7.1.4. 3.3V高速拡張バスモード対応拡張基板の参考コード例

J1/J2(Armadillo-460)に3.3V高速拡張バス(同期)を選択し、データバス幅を16bitに設定してリード/ライトアクセスするVHDLのサンプルコードを以下に示します。

library IEEE;
use ieee.std_logic_1164.all;

entity direct_sync is
    generic (
        C_AWIDTH    : integer := 24;
        C_DWIDTH    : integer := 16;
        C_BASEADDR  : std_logic_vector := x"B2000000";
        C_HIGHADDR  : std_logic_vector := x"B27FFFFF"
    );
    port (
        SYSCLK      : in    std_logic;                      -- Clock
        RESET       : in    std_logic;                      -- Reset
        SA          : in    std_logic_vector(C_AWIDTH-1 downto 0);  -- Address
        CS3_N       : in    std_logic;                      -- Chip Select3
        EB1_N       : in    std_logic;                      -- Enable Byte(15:8)
        RW_N        : in    std_logic;                      -- Read Write 
        RDY_N       : out   std_logic;                      -- Ready
        SD          : inout std_logic_vector(C_DWIDTH-1 downto 0)   -- Data
    );
end direct_sync;

architecture Behavioral of direct_sync is

signal reg0     : std_logic_vector(C_DWIDTH-1 downto 0);    -- Register
signal data_o   : std_logic_vector(C_DWIDTH-1 downto 0);    -- Output Data
signal RDY_d1   : std_logic;                                -- RDY Delay
signal RDY_N_w  : std_logic;                                -- RDY wire
signal EB0_N    : std_logic;                                -- Enable Byte(7:0)

begin

    EB0_N <= SA(0);

-------------------
-- RDY Signal Gen
-------------------
    process(SYSCLK, RESET)
    begin
        if RESET = '1' then
            RDY_d1 <= '1';
            RDY_N_w <= '1';
        elsif SYSCLK'event and SYSCLK = '1' then
            RDY_d1 <= CS3_N;
            RDY_N_w <= RDY_d1;
        end if;
    end process;

    RDY_N <= RDY_N_w;

------------------
-- Write Access
------------------
    process(SYSCLK, RESET)
    begin
        if RESET = '1' then
            reg0 <= (others => '0');
        elsif SYSCLK'event and SYSCLK = '1' then
            if CS3_N = '0' and RW_N = '0' and RDY_N_w = '0' then
                case SA(3 downto 1) is
                    when "000" =>
                        if EB1_N = '0' then
                            if EB0_N = '0' then
                                reg0 <= SD;
                            else
                                reg0(15 downto 8) <= SD(15 downto 8);
                            end if;
                        else
                            reg0(7 downto 0) <= SD(7 downto 0);
                        end if;
                    when others => null;
                end case;
            end if;
        end if;
    end process;

------------------
-- Read Access
------------------
    process(SYSCLK, RESET)
    begin
        if RESET = '1' then
            data_o <= (others => '0');
        elsif SYSCLK'event and SYSCLK = '1' then
            if CS3_N = '0' and RW_N = '1' and RDY_N_w = '0' then
                case SA(3 downto 1) is
                    when "000" => data_o <= reg0;
                    when others => data_o <= (others => '0');
                end case;
            end if;
        end if;
    end process;

    SD <= data_o when CS3_N = '0' and RW_N = '1' and RDY_N_w = '0' else (others => 'Z');

end Behavioral;

図7.4 3.3V高速拡張バスモード(同期)の参考アクセス例


7.2. 製品化に向けて

7.2.1. 放射ノイズ

製品化に向けて、ご使用されるArmadillo-400シリーズ基板を筐体などに取り付ける際に、基板からの放射ノイズを減らすための情報を以下に記載します。

[ティップ]

Armadillo-440あるいはArmadillo-460 と Armadillo-400 シリーズ LCD 拡張ボードを使用する場合に基板からの放射ノイズを減らすには、フレキシブルフラットケーブル(FFC)のみのGND 接続以外に、Armadillo-440あるいはArmadillo-460 とArmadillo-400 シリーズ LCD 拡張ボードの固定穴同士を太い導線で接続する、金属筐体と太い導線で接続する、金属筐体を接地するなど、GNDを強化すると効果的です。

[ティップ]

Armadillo-460 のPC/104 拡張バス互換モードと3.3V高速拡張バスモードでは、情報処理装置等電波障害自主規制協議会(VCCI)の基準に基づくクラスAをクリアしています。Armadillo-460 の3.3V高速拡張バスモードではPC/104 拡張バス互換モードより大きな放射ノイズが基板から発生する可能性があります。3.3V高速拡張バスモードにおいてArmadillo-460基板からの放射ノイズを下げるには、SYSCLK、アドレス線およびデータ線のうち未使用の信号線とGNDの間に終端抵抗を適宜接続すると効果的です。

Armadillo-440 およびArmadillo-460 のLCD インターフェースに接続する拡張ボードを新規に設計される場合、以下の点にご注意ください。

[ティップ]

オーディオアンプのような電力が大きく変動するデバイスを拡張ボードに搭載する場合、フレキシブルフラットケーブル(FFC)のみのGND 接続で は拡張ボードから強い放射ノイズが発生する可能性があります。放射ノイズを減らすには、Armadillo-440 あるいはArmadillo-460 の固定穴と拡張ボードのGND を金属板や太い導線を用いて接続する、金属筐体を接地するなど、拡張ボードのGND 強化をお勧めします。

7.2.2. ESD/雷サージ

製品化に向けて、ご使用されるArmadillo-400シリーズ基板を筐体などに取り付ける際に、ESD耐性を向上させるための情報を以下に記載します。

[ティップ]

Armadillo-400シリーズ基板のESD耐性を向上させるには、Armadillo-400シリーズ基板のGNDを金属筐体に太い導線で接続する、金属筐体を接地するなど、GNDを強化すると効果的です。

[ティップ]

Armadillo-440あるいはArmadillo-460 とArmadillo-400シリーズLCD 拡張ボードを使用する場合にESD耐性を向上させるには、フレキシブルフラットケーブル(FFC)のみのGND 接続以外に、Armadillo-440あるいはArmadillo-460の基板とArmadillo-400シリーズLCD拡張ボードの固定穴同士を太い導線で接続する、金属筐体に太い導線で接続する、金属筐体を接地するなど、GNDを強化すると効果的です。

[警告]

Armadillo-460 と通信対向機をArmadillo-460に付属するD-sub9/10ピンシリアル変換ケーブル経由で接続すると、ESD耐性は接触:±2kV (JIS C 61000-4-2 : Level 1)をクリアしない可能性があります。ESD耐性を向上させるには、D-sub9/10ピンシリアル変換ケーブルのGNDをArmadillo-460のGNDに太い導線で接続することで、接触:±4kV (JIS C 61000-4-2 : Level 2)をクリアすることが期待できます。

Armadillo-460 の拡張バスインターフェースに接続する拡張ボードを新規に設計される場合、以下の点にご注意ください。

[ティップ]

Armadillo-460 の拡張バスインターフェースに拡張ボードを接続した形状では、ESD耐性は接触:±2kV ( JIS C 61000-4-2 : Level 1)をクリアします。さらにESD耐性を向上させるには、拡張ボードの固定穴がGNDとなるように設計し、Armadillo-460 と拡張ボードの固定穴同士を太い導線で接続することで、接触:±4kV(JIS C 61000-4-2 : Level 2) をクリアすることが期待できます。

Armadillo-400 シリーズを組み込んだ機器、またはArmadillo-400 シリーズとLANケーブル等で接続された機器を屋外に設置する場合には、以下の点にご注意ください。

[警告]

Armadillo-400 シリーズに接続されたケーブルが屋外に露出するような設置環境では、ケーブルに侵入した雷サージ等のストレスによりインターフェース回路が破壊される場合があります。ストレスへの耐性を向上させるには、Armadillo-400 シリーズと通信対向機同士のGND接続を強化することおよびシールド付のケーブルを使用することが効果的です。



[9] CON11のCAN1信号を使用する場合も同様の回路となります。

[10] 参考回路のCON1で使用しているGPIOは、Armadillo-4x0のCON14に隣接しているCON9のGPIO3_17を使用しています。