第9章 拡張ボード/オプションモジュール

本章では、Armadillo-400シリーズに接続可能な拡張ボードおよびオプションモジュールのハードウェアについて説明します。

9.1. Armadillo-400シリーズ LCD拡張ボード - 「製品リビジョンA」

[ティップ]

「Armadillo-400シリーズ LCDオプションセット(型番:OP-A400-LCD43EXT-L01)」には「Armadillo-400シリーズ LCD拡張ボード(製品リビジョンB)」が同梱されます。

9.1.1. ボード概要

Armadillo-400シリーズ LCD拡張ボード(以下、LCD拡張ボード)は、Armadillo-400シリーズのLCD拡張インターフェース(CON11)に接続可能な拡張ボードです。タッチパネルLCDモジュール、オーディオコーデック、リアルタイムクロック(以下、RTC)が搭載されています。LCD拡張ボードおよび搭載LCDモジュールの主な仕様は次の通りです。

LCD拡張ボードは、製品リビジョンによって一部仕様が異なりますのでご注意ください。製品リビジョンの判定方法は、Armadilloサイト製品マニュアルページの「Armadillo-400シリーズリビジョン情報」にてご確認ください。

表9.1 LCD拡張ボード仕様

LCD I/F

DATA IMAGE社製LCD「FG040360DSSWBG03」用コネクタ x 1

汎用LCD I/Fコネクタ x 1

バックライト用LEDドライバ搭載

オーディオ

WOLFSON社製コーデック「WM8978GEFL/V」搭載

ステレオヘッドホン出力ジャック x 1

モノラルマイク入力ジャック x 1

リアルタイムクロック(RTC) セイコーインスツル社製RTC「S-35390A」搭載
RTCバックアップ 約5日間(周囲温度25℃、参考値)
LED/スイッチ

タクトスイッチ x 3

電源LED(緑色) x 1

基板サイズ 106.0 × 82.0 mm (突起部含まず)
電源電圧

主電源:DC3.1~3.3V

LCDバックライト用:DC2.8~5.5V

消費電力 約0.8W(LCDモジュール含む)
使用温度範囲 -10~60℃(ただし結露なきこと)

[警告]

RTCの平均月差は、周囲温度25℃で±30秒程度(参考値)です。時間精度は、周囲温度に大きく影響を受けますので、ご使用の際は十分に特性の確認をお願いします。

[警告]

RTCバックアップ時間は、周囲温度、電圧印加時間等に大きく影響を受けますので、ご使用の際は十分に特性の確認をお願いします。

[警告]

LCD拡張ボード(製品リビジョンA)に搭載されているRTCバックアップ用の電気二重層コンデンサ(Panasonic社製EECEN0F204RK)は、有限寿命部品です。経時的に容量は減少し、内部抵抗は増大します。

電気二重層コンデンサの寿命予測には、一般的に10℃2倍則が適用できます。

Lx=Lo×2((To-Tx)/10)

ここで、Lo:上限温度における保証寿命(時間)、Lx:実使用時の推定寿命(時間)、To:上限温度(℃)、Tx:実使用時の周囲温度(℃)

メーカーで公開されているEECEN0F204RKの耐久性保証値は、+60℃で500時間(静電容量変化率が初期値の±30%以内、内部抵抗が4kΩ以下)です。周囲温度25℃で使用すると仮定した場合、推定寿命は以下になります。

Tx=500×2((60-25)/10)=約5600時間

なお、電気二重層コンデンサの寿命は充放電サイクル数ではなく、電圧が印加されている時間に影響しますので、上式で算出される時間は累積稼働時間となります。

規定の寿命を超えた場合、急激な特性劣化や液漏れに至る可能性があります。長期連続稼働が想定される量産製品に使用する場合は、定期的な点検・交換を行ってください。

表9.2 搭載LCDモジュール仕様

型式 FG040360DSSWBG03
メーカ DATA IMAGE社
タイプ TFT
色数 24bit
スクリーンサイズ 4.3インチ
バックライト LED (VL=15~18V, IL=40mA)
タッチパネル 4線抵抗膜方式
外形寸法 105.5(W) x 67.2(H) x 4.2(D) mm
アクティブエリア 95.04(W) x 53.856(H) mm
ドット構成 480 x (R, G, B) x 272 dot
ドットピッチ 0.066(W) x 0.198(H) mm
動作温度範囲 -20~70℃

[警告]

評価・開発用途の液晶モデル開発セットでは、タッチパネルLCDモジュールは弾力性のある両面テープによって固定されています。液晶画面に強い力が加わった場合に両面テープがつぶれて液晶フレームと基板配線が接触する可能性があります。液晶画面を必要以上に強く押さないようご注意ください。

9.1.2. インターフェース配置

LCD拡張ボードのインターフェース配置

図9.1 LCD拡張ボードのインターフェース配置


表9.3 LCD拡張ボードのインターフェース内容

部品番号インターフェース形状備考
CON1Armadillo-440/460接続

FFCコネクタ(50P)

(0.5mmピッチ)

 
CON2LCD拡張1(DATA IMAGE社製LCD)

FFCコネクタ(40P)

(0.5mmピッチ)

 
CON3モノラルマイク入力ミニジャック(φ3.5mm) 
CON4ステレオヘッドホン出力ミニジャック(φ3.5mm) 
CON5リザーブ端子

ピンヘッダ(2P)

(2mmピッチ)

コネクタ非搭載
CON6LCD拡張2(汎用LCD)

ピンヘッダ(40P)

(2.54mmピッチ)

コネクタ非搭載
CON7リザーブ端子

ピンヘッダ(10P)

(2.54mmピッチ)

コネクタ非搭載
SW1, SW2, SW3ユーザースイッチタクトスイッチ 
SW4, SW5, SW6ユーザースイッチタクトスイッチスイッチ非搭載
LED1電源LEDLED(緑色,面実装) 
LED2, LED3ユーザーLEDLED(φ3mm)LED非搭載

[ティップ]

LCD拡張ボードの各コネクタの信号配列は、「Armadillo-400シリーズ LCD拡張ボード回路図」でご確認ください。

[警告]

LCD拡張ボードのCON2とCON6は、共通の信号が接続されていますので同時に使用できません。CON6に他のLCDモジュールを接続する場合は、CON2からDATA IMAGE社製LCDを取り外してご使用ください。

9.1.2.1. CON1(Armadillo-440/460接続コネクタ)

CON1はArmadillo-440/460と接続可能なコネクタです。ピッチ0.5mm 50 ピンのフレキシブルフラットケーブルによりArmadillo-440/460のLCD拡張インターフェース(CON11)と接続することが可能です。CON1には、LCD、タッチパネル、オーディオコーデック、リアルタイムクロックの各インターフェースが含まれています。

[ティップ]

CON1から入力されるLCD拡張インターフェース信号は、CON2およびCON6に接続されています。

表9.4 CON1信号配列

ピン番号信号名I/O機  能
1GNDPower電源(GND)
2I2C3_SDAIn/Outコーデック I2Cデータ、 コーデックIC(LCD拡張ボード IC2)のSDINピンに接続、 +3.3Vで1kΩプルアップ
3I2C3_SCLINコーデック I2Cクロック、 コーデックIC(LCD拡張ボード IC2)のSCLKピンに接続、 +3.3Vで1kΩプルアップ
4AUD5_TXFSInコーデック TXFS、 コーデックIC(LCD拡張ボード IC2)のLRCピンに接続
5AUD5_TXCInコーデック TXC、 コーデックIC(LCD拡張ボード IC2)のBCLKピンに接続
6AUD5_RXDOutコーデック RXD、 コーデックIC(LCD拡張ボード IC2)のADCDATピンに接続
7AUD5_TXDInコーデック TXD、 コーデックIC(LCD拡張ボード IC2)のDACDATピンに接続
8AUD_RXFSIn/OutTP4に接続、R50の実装によりRTC INT1*ピンに接続
9AUD5_SYSCLKInコーデック SYSCLK、 コーデックIC(LCD拡張ボード IC2)のMCLKピンに接続
10GPIO2_30In/Outタクトスイッチ出力、SW3 の2ピン、CON6の7ピンに接続
11GPIO2_29In/Outタクトスイッチ出力、SW2 の2ピン、CON6の8ピンに接続
12GPIO2_20In/Outタクトスイッチ出力、SW1 の2ピン、CON6の9ピンに接続
13GNDPower電源(GND)
14TOUCH_YNIn/OutタッチパネルI/F YN、CON2の40ピン、CON6の10ピンに接続
15TOUCH_YPIn/OutタッチパネルI/F YP、CON2の38ピン、CON6の11ピンに接続
16TOUCH_XNIn/OutタッチパネルI/F XN、CON2の39ピン、CON6の12ピンに接続
17TOUCH_XPIn/OutタッチパネルI/F XP、CON2の37ピン、CON6の13ピンに接続
18GNDPower電源(GND)
19LCD_LD17InLCD拡張I/F LD17、CON2の12ピン、CON6の15ピンに接続
20LCD_LD16InLCD拡張I/F LD16、CON2の11ピン、CON6の16ピンに接続
21LCD_LD15InLCD拡張I/F LD15、CON2の10ピン、CON6の17ピンに接続
22LCD_LD14InLCD拡張I/F LD14、CON2の9ピン、CON6の18ピンに接続
23LCD_LD13InLCD拡張I/F LD13、CON2の8ピン、CON6の19ピンに接続
24LCD_LD12InLCD拡張I/F LD12、CON2の7ピン、CON6の20ピンに接続
25GNDPower電源(GND)
26LCD_LD11InLCD拡張I/F LD11、CON2の20ピン、CON6の22ピンに接続
27LCD_LD10InLCD拡張I/F LD10、CON2の19ピン、CON6の23ピンに接続
28LCD_LD9InLCD拡張I/F LD9、CON2の18ピン、CON6の24ピンに接続
29LCD_LD8InLCD拡張I/F LD8、CON2の17ピン、CON6の25ピンに接続
30LCD_LD7InLCD拡張I/F LD7、CON2の16ピン、CON6の26ピンに接続
31LCD_LD6InLCD拡張I/F LD6、CON2の15ピン、CON6の27ピンに接続
32GNDPower電源(GND)
33LCD_LD5InLCD拡張I/F LD5、CON2の28ピン、CON6の29ピンに接続
34LCD_LD4InLCD拡張I/F LD4、CON2の27ピン、CON6の30ピンに接続
35LCD_LD3InLCD拡張I/F LD3、CON2の26ピン、CON6の31ピンに接続
36LCD_LD2InLCD拡張I/F LD2、CON2の25ピン、CON6の32ピンに接続
37LCD_LD1InLCD拡張I/F LD1、CON2の24ピン、CON6の33ピンに接続
38LCD_LD0InLCD拡張I/F LD0、CON2の23ピン、CON6の34ピンに接続
39PWMO1InLCD拡張I/F PWMO1、CON6の36ピン、LEDドライバのFBピンに接続
40LCD_OE_ACDInLCD拡張I/F OE_ACD、CON2の34ピン、CON6の37ピンに接続
41LCD_VSYNInLCD拡張I/F VSYN、CON2の33ピン、CON6の38ピンに接続
42LCD_HSYNInLCD拡張I/F HSYN、CON2の32ピン、CON6の39ピンに接続
43LCD_LSCLKInLCD拡張I/F LSCLK、CON2の30ピン、CON6の40ピンに接続
44GNDPower電源(GND)
45GNDPower電源(GND)
46+3.3VPower電源(+3.3V)
47+3.3VPower電源(+3.3V)
48VINPower電源(VIN)
49VINPower電源(VIN)
50VINPower電源(VIN)

9.1.2.2. CON2(LCD拡張インターフェース1)

CON2はLCD拡張インターフェースです。DATA IMAGE 社製LCD(FG040360DSSWBG03)と接続することが可能です。LCD拡張インターフェース1には、LCD、タッチパネル、バックライト電源の各インターフェースが含まれています。

[警告]

LCD拡張ボードのCON2とCON6は、共通の信号が接続されていますので同時に使用できません。CON6に他のLCDモジュールを接続する場合は、CON2からDATA IMAGE社製LCDを取り外してご使用ください。

表9.5 CON2信号配列

ピン番号信号名I/O機  能
1BL_LED_KPowerLEDドライバ電源(-端子)
2BL_LED_APowerLEDドライバ電源(+端子)
3GNDPower電源(GND)
4+3.3VPower電源(+3.3V)
5GNDPower電源(GND)
6GNDPower電源(GND)
7LCD_LD12OutLCD拡張I/F LD12、CON1の24ピン、CON6の20ピンに接続
8LCD_LD13OutLCD拡張I/F LD13、CON1の23ピン、CON6の19ピンに接続
9LCD_LD14OutLCD拡張I/F LD14、CON1の22ピン、CON6の18ピンに接続
10LCD_LD15OutLCD拡張I/F LD15、CON1の21ピン、CON6の17ピンに接続
11LCD_LD16OutLCD拡張I/F LD16、CON1の20ピン、CON6の16ピンに接続
12LCD_LD17OutLCD拡張I/F LD17、CON1の19ピン、CON6の15ピンに接続
13GNDPower電源(GND)
14GNDPower電源(GND)
15LCD_LD6OutLCD拡張I/F LD6、CON1の31ピン、CON6の27ピンに接続
16LCD_LD7OutLCD拡張I/F LD7、CON1の30ピン、CON6の26ピンに接続
17LCD_LD8OutLCD拡張I/F LD8、CON1の29ピン、CON6の25ピンに接続
18LCD_LD9OutLCD拡張I/F LD9、CON1の28ピン、CON6の24ピンに接続
19LCD_LD10OutLCD拡張I/F LD10、CON1の27ピン、CON6の23ピンに接続
20LCD_LD11OutLCD拡張I/F LD11、CON1の26ピン、CON6の22ピンに接続
21GNDPower電源(GND)
22GNDPower電源(GND)
23LCD_LD0OutLCD拡張I/F LD0、CON1の38ピン、CON6の34ピンに接続
24LCD_LD1OutLCD拡張I/F LD1、CON1の37ピン、CON6の33ピンに接続
25LCD_LD2OutLCD拡張I/F LD2、CON1の36ピン、CON6の32ピンに接続
26LCD_LD3OutLCD拡張I/F LD3、CON1の35ピン、CON6の31ピンに接続
27LCD_LD4OutLCD拡張I/F LD4、CON1の34ピン、CON6の30ピンに接続
28LCD_LD5OutLCD拡張I/F LD5、CON1の33ピン、CON6の29ピンに接続
29GNDPower電源(GND)
30DISPIn/OutTP6に接続、 +3.3Vで10kΩプルアップ
31LCD_LSCLKOutLCD拡張I/F LSCLK、CON1の43ピン、CON6の40ピンに接続
32LCD_HSYNOutLCD拡張I/F HSYN、CON1の42ピン、CON6の39ピンに接続
33LCD_VSYNOutLCD拡張I/F VSYN、CON1の41ピン、CON6の38ピンに接続
34LCD_OE_ACDOutLCD拡張I/F OE_ACD、CON1の40ピン、CON6の37ピンに接続
35NC--
36GNDPower電源(GND)
37TOUCH_XPIn/OutタッチパネルI/F XP、 CON1の17ピン、CON6の13ピンに接続
38TOUCH_YPIn/OutタッチパネルI/F YP、 CON1の15ピン、CON6の11ピンに接続
39TOUCH_XNIn/OutタッチパネルI/F XN、 CON1の16ピン、CON6の12ピンに接続
40TOUCH_YNIn/OutタッチパネルI/F YN、 CON1の14ピン、CON6の10ピンに接続

9.1.2.3. CON3(モノラルマイク入力)

CON3はモノラルマイク入力です。

表9.6 CON3信号配列

ピン番号信号名I/O機  能
1GNDPower電源(GND)
2MIC_INInコーデックIC(LCD拡張ボード IC2)のLIPピンに接続
3---
10---

9.1.2.4. CON4(ステレオヘッドホン出力)

CON4はステレオヘッドホン出力です。

表9.7 CON4信号配列

ピン番号信号名I/O機  能
1GNDPower電源(GND)
2HP_L_OUTOutコーデックIC(LCD拡張ボード IC2)のLOUT1ピンに接続
3HP_R_OUTOutコーデックIC(LCD拡張ボード IC2)のROUT1ピンに接続
10HP_DETInコーデックIC(LCD拡張ボード IC2)のL2/GPIO2ピンに接続

9.1.2.5. CON5(リザーブ端子)

CON5はリザーブ端子です。

表9.8 CON5信号配列

ピン番号信号名I/O機  能
1SPK_NOutコーデックIC(LCD拡張ボード IC2)のLOUT2ピンに接続
2SPK_POutコーデックIC(LCD拡張ボード IC2)のROUT2ピンに接続

9.1.2.6. CON6(LCD拡張インターフェース2)

CON6はLCD拡張インターフェースです。DATA IMAGE 社製LCD(FG040360DSSWBG03)と接続することが可能です。LCD拡張インターフェース2には、LCD、タッチパネルの各インターフェースが含まれています。

[警告]

LCD拡張ボードのCON2とCON6は、共通の信号が接続されていますので同時に使用できません。CON6に他のLCDモジュールを接続する場合は、CON2からDATA IMAGE社製LCDを取り外してご使用ください。

表9.9 CON6信号配列

ピン番号信号名I/O機  能
1VINPower電源(VIN)
2VINPower電源(VIN)
3+3.3VPower電源(+3.3V)
4+3.3VPower電源(+3.3V)
5GNDPower電源(GND)
6GNDPower電源(GND)
7GPIO2_30In/Outタクトスイッチ出力、SW3 の2ピン、CON6の7ピンに接続
8GPIO2_29In/Outタクトスイッチ出力、SW2 の2ピン、CON1の11ピンに接続
9GPIO2_20In/Outタクトスイッチ出力、SW1 の2ピン、CON1の12ピンに接続
10TOUCH_YNIn/OutタッチパネルI/F YN、 CON1の14ピン、CON1の40ピンに接続
11TOUCH_YPIn/OutタッチパネルI/F YP、 CON1の15ピン、CON1の38ピンに接続
12TOUCH_XNIn/OutタッチパネルI/F XN、 CON1の16ピン、CON1の39ピンに接続
13TOUCH_XPIn/OutタッチパネルI/F XP、 CON1の17ピン、CON1の37ピンに接続
14GNDPower電源(GND)
15LCD_LD17OutLCD拡張I/F LD17、CON2の12ピン、CON1の19ピンに接続
16LCD_LD16OutLCD拡張I/F LD16、CON2の11ピン、CON1の20ピンに接続
17LCD_LD15OutLCD拡張I/F LD15、CON2の10ピン、CON1の21ピンに接続
18LCD_LD14OutLCD拡張I/F LD14、CON2の9ピン、CON1の22ピンに接続
19LCD_LD13OutLCD拡張I/F LD13、CON2の8ピン、CON1の23ピンに接続
20LCD_LD12OutLCD拡張I/F LD12、CON2の7ピン、CON1の24ピンに接続
21GNDPower電源(GND)
22LCD_LD11OutLCD拡張I/F LD11、CON2の20ピン、CON1の26ピンに接続
23LCD_LD10OutLCD拡張I/F LD10、CON2の19ピン、CON1の27ピンに接続
24LCD_LD9OutLCD拡張I/F LD9、CON2の18ピン、CON1の28ピンに接続
25LCD_LD8OutLCD拡張I/F LD8、CON2の17ピン、CON1の29ピンに接続
26LCD_LD7OutLCD拡張I/F LD7、CON2の16ピン、CON1の30ピンに接続
27LCD_LD6OutLCD拡張I/F LD6、CON2の15ピン、CON1の31ピンに接続
28GNDPower電源(GND)
29LCD_LD5OutLCD拡張I/F LD5、CON2の28ピン、CON1の33ピンに接続
30LCD_LD4OutLCD拡張I/F LD4、CON2の27ピン、CON1の34ピンに接続
31LCD_LD3OutLCD拡張I/F LD3、CON2の26ピン、CON1の35ピンに接続
32LCD_LD2OutLCD拡張I/F LD2、CON2の25ピン、CON1の36ピンに接続
33LCD_LD1OutLCD拡張I/F LD1、CON2の24ピン、CON1の37ピンに接続
34LCD_LD0OutLCD拡張I/F LD0、CON2の23ピン、CON1の38ピンに接続
35GNDPower電源(GND)
36PWMO1OutLCD拡張I/F PWMO1、CON1の39ピン、LEDドライバのFBピンに接続
37LCD_OE_ACDOutLCD拡張I/F OE_ACD、CON1の40ピン、CON1の34ピンに接続
38LCD_VSYNOutLCD拡張I/F VSYN、CON1の41ピン、CON1の33ピンに接続
39LCD_HSYNOutLCD拡張I/F HSYN、CON1の42ピン、CON1の32ピンに接続
40LCD_LSCLKOutLCD拡張I/F LSCLK、CON1の43ピン、CON1の31ピンに接続

9.1.2.7. CON7(リザーブ端子)

CON7はリザーブ端子です。

表9.10 CON7信号配列

ピン番号信号名I/O機  能
1LED2InLED2に接続 (Low:消灯、 High:点灯)
2NC--
3LED3InLED3に接続 (Low:消灯、 High:点灯)
4NC--
5SW4In/OutSW4の2ピンに接続
6NC--
7SW5In/OutSW5の2ピンに接続
8NC--
9SW6In/OutSW6の2ピンに接続
10NC--

9.1.2.8. SW1, SW2, SW3(ユーザースイッチ)

SW1, SW2, SW3 はユーザー側で自由に利用できるスイッチです。Almadillo-440/460と接続した場合、i.MX257のGPIOに接続されます。 スイッチに接続されたi.MX257 の信号がGPIO の入力モードに設定されている場合にスイッチ状態を取得できます。

表9.11 SW1, SW2, SW3の機能

SW機  能
SW1i.MX257のGPIO2_20に接続(Almadillo-440/460と接続時)
SW2i.MX257のGPIO2_29に接続(Almadillo-440/460と接続時)
SW3i.MX257のGPIO2_30に接続(Almadillo-440/460と接続時)

9.1.2.9. SW4, SW5, SW6(ユーザースイッチ リザーブ端子)

SW4, SW5, SW6 はユーザー側で自由に利用できるスイッチのリザーブ端子です。CON7に接続されています。

表9.12 SW4, SW5, SW6の機能

SW機  能
SW4CON7の5ピンに接続(SW未実装)
SW5CON7の7ピンに接続(SW未実装)
SW6CON7の9ピンに接続(SW未実装)

9.1.2.10. LED1(電源LED)

LED1 は電源LEDです。

表9.13 LED1の機能 - 「LCD拡張ボード(製品リビジョンA)」

LED名称(色)機  能
LED1電源LED(緑色,面実装)電源ON : 点灯、電源OFF : 消灯

9.1.2.11. LED2、LED3(ユーザーLED リザーブ端子)

LED1 はユーザー側で自由に利用できるLEDの リザーブ端子です。CON7と接続されています。

表9.14 LED2、LED3の機能

LED名称(色)機  能
LED2ユーザーLED(未実装)CON7の1ピンと接続 (High : 点灯、Low : 消灯)
LED3ユーザーLED(未実装)CON7の3ピンと接続 (High : 点灯、Low : 消灯)

9.1.3. 基板形状図

9.1.3.1. LCD拡張ボード

LCD拡張ボードの基板形状および固定穴寸法

図9.2 LCD拡張ボードの基板形状および固定穴寸法


LCD拡張ボードのコネクタ位置寸法

図9.3 LCD拡張ボードのコネクタ位置寸法


9.1.4. 接続方法

LCDとLCD拡張ボードの接続方法を、図9.4「LCDとLCD拡張ボードの接続方法」に示します。LCD拡張ボード CON2のロックレバーを上げてLCDのフレキシブル基板(以下FPC)が止まるところまで挿入し、ロックレバーを下ろすことでFPCとFPCコネクタが接続します。

LCDとLCD拡張ボードの接続方法

図9.4 LCDとLCD拡張ボードの接続方法


[警告]

LCD拡張ボード CON2のロックレバーに力を強く加えるとコネクタが破損する恐れがありますので、FPCを取り付ける際には十分にご注意ください。

「Armadillo-440 液晶モデル開発セット」に付属するLCD拡張ボードは、LCDとLCD拡張基板を両面テープで図9.5「LCDとLCD拡張基板の接着」のように接着しています。

LCDとLCD拡張基板の接着

図9.5 LCDとLCD拡張基板の接着


[警告]

LCD拡張ボードの取り付けは、安全設計を行った上で安全に留意してご使用ください。

[警告]

付属の両面テープは、以下の理由から量産時の使用は推奨はいたしません。両面テープのご使用は、安全に留意してお使いください。

(1) 経年変化により両面テープの粘着力が低下し、LCDパネルが剥がれる恐れがあります。

(2) LCDパネルの表面を強く押すと両面テープが収縮し、LCD拡張基板と接触し、ショートする恐れがあります。

Armadillo-440/460 とLCD拡張ボードは、ピッチ0.5mm 50ピンのフレキシブルフラットケーブル(以下FFC)により接続することができます。図9.7「Armadillo-440 と LCD拡張ボードの接続方法」図9.8「Armadillo-460 と LCD拡張ボードの接続方法」に接続例を示します。FFCコネクタのロックレバーを上げてFFCが止まるところまで挿入し、ロックレバーを下ろすことでFFCとFFCコネクタが接続します。

[警告]

Armadillo-440/460 のFFCコネクタ CON11 の1ピンとLCD拡張ボードのFFCコネクタ CON1 の50ピンが対応するように、FFCケーブルを接続してください。CON1の1ピンとCON11の1ピンが対応するようにFFCを接続しますと、電源とGNDがショートし破損の原因となりますので、接続には十分にご注意ください。

[警告]

FFCの電極はArmadillo-440/460 のFFCコネクタ CON11 あるいは LCD拡張ボードのFFCコネクタ CON1の上側となるように接続してください。FFCの電極が下側となるように接続しますと実装部品とFFCの電極が接触する可能性があります。

フレキシブルフラットケーブル(FFC)

図9.6 フレキシブルフラットケーブル(FFC)


Armadillo-440 と LCD拡張ボードの接続方法

図9.7 Armadillo-440 と LCD拡張ボードの接続方法


Armadillo-460 と LCD拡張ボードの接続方法

図9.8 Armadillo-460 と LCD拡張ボードの接続方法


Armadillo-460基板にはスリットがあり、FFCを基板の表面/裏面のどちらにも引き回すことが可能です。

Armadillo-460 のスリット

図9.9 Armadillo-460 のスリット


9.1.5. LCDパネルのドット欠けについて

LCDパネルはその性質上、一定の割合でドット欠け(点欠陥)が生じます。LCD拡張ボードに使用されているLCDパネルの点欠陥の許容範囲は、以下の基準に従います。

9.1.5.1. 点欠陥の定義

表9.15 点欠陥の定義

輝点全黒表示画面において、周辺同色画素より明るいと認識される点欠陥。
黒点全白表示画面において、周辺同色画素より暗いと認識される点欠陥。
連続点欠陥

輝点、黒点の点欠陥が複数にわたり連続して発生している物。

黒点-黒点、輝点-輝点のいずれの場合についても連続点欠陥とする。


9.1.5.2. 検査基準

表9.16 点欠陥許容範囲

欠陥許容範囲
輝点欠陥4個
黒点欠陥5個
2連続点欠陥

2組(輝点)

3組(黒点)

連続点欠陥(3連続以上)0個(輝点、黒点とも)
欠陥総数5個

9.2. Armadillo-400シリーズ LCD拡張ボード - 「製品リビジョンB」

[ティップ]

「Armadillo-400シリーズ LCDオプションセット(型番:OP-A400-LCD43EXT-L01)」には「Armadillo-400シリーズ LCD拡張ボード(製品リビジョンB)」が同梱されます。

9.2.1. ボード概要

Armadillo-400シリーズ LCD拡張ボード(以下、LCD拡張ボード)は、Armadillo-400シリーズのLCD拡張インターフェース(CON11)に接続可能な拡張ボードです。タッチパネルLCDモジュール、オーディオコーデック、リアルタイムクロック(以下、RTC)が搭載されています。LCD拡張ボードおよび搭載LCDモジュールの主な仕様は次の通りです。

LCD拡張ボードは、製品リビジョンによって一部仕様が異なりますのでご注意ください。製品リビジョンの判定方法は、Armadilloサイト製品マニュアルページの「Armadillo-400シリーズリビジョン情報」にてご確認ください。

表9.17 LCD拡張ボード仕様

LCD I/F

DATA IMAGE社製LCD「FG040360DSSWBG03」用コネクタ x 1

汎用LCD I/Fコネクタ x 1

バックライト用LEDドライバ搭載

オーディオ

WOLFSON社製コーデック「WM8978GEFL/V」搭載

ステレオヘッドホン出力ジャック x 1

モノラルマイク入力ジャック x 1

リアルタイムクロック(RTC) セイコーインスツル社製RTC「S-35390A」搭載
RTCバックアップ

300秒(Typ.)、60秒(Min.)、

RTC外部バックアップコネクタ(CON8、CON9、CON10)経由で外部バッテリを接続可能

LED/スイッチ

タクトスイッチ x 3

電源LED(緑色) x 1

基板サイズ 106.0 × 82.0 mm (突起部含まず)
電源電圧

主電源:DC3.3V±0.2V

LCDバックライト用:DC2.8~5.5V

消費電力 約0.8W(LCDモジュール含む)
使用温度範囲 -20~70℃(ただし結露なきこと)

[警告]

RTCの平均月差は、周囲温度25℃で±30秒程度(参考値)です。時間精度は、周囲温度に大きく影響を受けますので、ご使用の際は十分に特性の確認をお願いします。

[警告]

RTCバックアップ時間は、周囲温度、電圧印加時間等に大きく影響を受けますので、ご使用の際は十分に特性の確認をお願いします。

表9.18 搭載LCDモジュール仕様

型式 FG040360DSSWBG03
メーカ DATA IMAGE社
タイプ TFT
色数 24bit
スクリーンサイズ 4.3インチ
バックライト LED (VL=15~18V, IL=40mA)
タッチパネル 4線抵抗膜方式
外形寸法 105.5(W) x 67.2(H) x 4.2(D) mm
アクティブエリア 95.04(W) x 53.856(H) mm
ドット構成 480 x (R, G, B) x 272 dot
ドットピッチ 0.066(W) x 0.198(H) mm
動作温度範囲 -20~70℃

[警告]

評価・開発用途の液晶モデル開発セットでは、タッチパネルLCDモジュールは弾力性のある両面テープによって固定されています。液晶画面に強い力が加わった場合に両面テープがつぶれて液晶フレームと基板配線が接触する可能性があります。液晶画面を必要以上に強く押さないようご注意ください。

量産製品にLCDモジュールを固定する際は、図9.14「LCD拡張ボードのLCD固定金具の組み立て例 」のように固定金具を使用して固定することを推奨します。

9.2.2. インターフェース配置

LCD拡張ボードのインターフェース配置

図9.10 LCD拡張ボードのインターフェース配置


表9.19 LCD拡張ボードのインターフェース内容

部品番号インターフェース形状備考
CON1Armadillo-440/460接続

FFCコネクタ(50P)

(0.5mmピッチ)

 
CON2LCD拡張1(DATA IMAGE社製LCD)

FFCコネクタ(40P)

(0.5mmピッチ)

 
CON3モノラルマイク入力ミニジャック(φ3.5mm) 
CON4ステレオヘッドホン出力ミニジャック(φ3.5mm) 
CON5リザーブ端子

ピンヘッダ(2P)

(2mmピッチ)

コネクタ非搭載
CON6LCD拡張2(汎用LCD)

ピンヘッダ(40P)

(2.54mmピッチ)

コネクタ非搭載
CON7リザーブ端子

ピンヘッダ(10P)

(2.54mmピッチ)

コネクタ非搭載
CON8RTC外部バックアップ用電源入力1[a]

電池ホルダー

HU2032(タカチ電機工業)

対応電池:CR2032またはBR2032
CON9RTC外部バックアップ用電源入力2[a]

ピンヘッダ(2P)

DF13-2P-1.25DS(20)(ヒロセ電機)[b]

コネクタ非搭載[c]
CON10RTC外部バックアップ用電源入力3[a]

ピンヘッダ(2P)

(2.54mmピッチ)

コネクタ非搭載
SW1, SW2, SW3ユーザースイッチタクトスイッチ 
SW4, SW5, SW6ユーザースイッチタクトスイッチスイッチ非搭載
LED1電源LEDLED(緑色,面実装) 
LED2, LED3ユーザーLEDLED(φ3mm)LED非搭載

[a] CON8、CON9、CON10はRTCの外部バックアップ用電源入力コネクタです。電源が切断されても長期間時刻データを保持させたい場合に、別途リチウムコイン電池(CRまたはBR)等の外部バッテリを接続することができます。これらコネクタは共通の端子に接続されていますので、同時に使用することはできません。

[b] 対応ソケット: DF13-2S-1.25C(ヒロセ電機)

[c] 対応バッテリ例: CR2032 WK11。詳しくは、各Armadillo販売代理店にお問い合わせください。


[ティップ]

LCD拡張ボードの各コネクタの信号配列は、「Armadillo-400シリーズ LCD拡張ボード回路図」でご確認ください。

[警告]

LCD拡張ボードのCON2とCON6は、共通の信号が接続されていますので同時に使用できません。CON6に他のLCDモジュールを接続する場合は、CON2からDATA IMAGE社製LCDを取り外してご使用ください。

[警告]

RTC外部バックアップ用電源入力コネクタ(CON9、CON10)にリチウムコイン電池(CRまたはBR)以外のバッテリを接続する際は、「Armadillo-400シリーズ LCD拡張ボード回路図」にて搭載部品の絶対定格値を超えないことをご確認の上、接続してください。

9.2.2.1. CON1(Armadillo-440/460接続コネクタ)

CON1はArmadillo-440/460と接続可能なコネクタです。ピッチ0.5mm 50 ピンのフレキシブルフラットケーブルによりArmadillo-440/460のLCD拡張インターフェース(CON11)と接続することが可能です。CON1には、LCD、タッチパネル、オーディオコーデック、リアルタイムクロックの各インターフェースが含まれています。

[ティップ]

CON1から入力されるLCD拡張インターフェース信号は、CON2およびCON6に接続されています。

表9.20 CON1信号配列

ピン番号信号名I/O機  能
1GNDPower電源(GND)
2I2C3_SDAIn/Outコーデック I2Cデータ、 コーデックIC(LCD拡張ボード IC2)のSDINピンに接続、 +3.3Vで1kΩプルアップ
3I2C3_SCLINコーデック I2Cクロック、 コーデックIC(LCD拡張ボード IC2)のSCLKピンに接続、 +3.3Vで1kΩプルアップ
4AUD5_TXFSInコーデック TXFS、 コーデックIC(LCD拡張ボード IC2)のLRCピンに接続
5AUD5_TXCInコーデック TXC、 コーデックIC(LCD拡張ボード IC2)のBCLKピンに接続
6AUD5_RXDOutコーデック RXD、 コーデックIC(LCD拡張ボード IC2)のADCDATピンに接続
7AUD5_TXDInコーデック TXD、 コーデックIC(LCD拡張ボード IC2)のDACDATピンに接続
8AUD_RXFSIn/OutTP4に接続、R50の実装によりRTC INT1*ピンに接続
9AUD5_SYSCLKInコーデック SYSCLK、 コーデックIC(LCD拡張ボード IC2)のMCLKピンに接続
10GPIO2_30In/Outタクトスイッチ出力、SW3 の2ピン、CON6の7ピンに接続
11GPIO2_29In/Outタクトスイッチ出力、SW2 の2ピン、CON6の8ピンに接続
12GPIO2_20In/Outタクトスイッチ出力、SW1 の2ピン、CON6の9ピンに接続
13GNDPower電源(GND)
14TOUCH_YNIn/OutタッチパネルI/F YN、CON2の40ピン、CON6の10ピンに接続
15TOUCH_YPIn/OutタッチパネルI/F YP、CON2の38ピン、CON6の11ピンに接続
16TOUCH_XNIn/OutタッチパネルI/F XN、CON2の39ピン、CON6の12ピンに接続
17TOUCH_XPIn/OutタッチパネルI/F XP、CON2の37ピン、CON6の13ピンに接続
18GNDPower電源(GND)
19LCD_LD17InLCD拡張I/F LD17、CON2の12ピン、CON6の15ピンに接続
20LCD_LD16InLCD拡張I/F LD16、CON2の11ピン、CON6の16ピンに接続
21LCD_LD15InLCD拡張I/F LD15、CON2の10ピン、CON6の17ピンに接続
22LCD_LD14InLCD拡張I/F LD14、CON2の9ピン、CON6の18ピンに接続
23LCD_LD13InLCD拡張I/F LD13、CON2の8ピン、CON6の19ピンに接続
24LCD_LD12InLCD拡張I/F LD12、CON2の7ピン、CON6の20ピンに接続
25GNDPower電源(GND)
26LCD_LD11InLCD拡張I/F LD11、CON2の20ピン、CON6の22ピンに接続
27LCD_LD10InLCD拡張I/F LD10、CON2の19ピン、CON6の23ピンに接続
28LCD_LD9InLCD拡張I/F LD9、CON2の18ピン、CON6の24ピンに接続
29LCD_LD8InLCD拡張I/F LD8、CON2の17ピン、CON6の25ピンに接続
30LCD_LD7InLCD拡張I/F LD7、CON2の16ピン、CON6の26ピンに接続
31LCD_LD6InLCD拡張I/F LD6、CON2の15ピン、CON6の27ピンに接続
32GNDPower電源(GND)
33LCD_LD5InLCD拡張I/F LD5、CON2の28ピン、CON6の29ピンに接続
34LCD_LD4InLCD拡張I/F LD4、CON2の27ピン、CON6の30ピンに接続
35LCD_LD3InLCD拡張I/F LD3、CON2の26ピン、CON6の31ピンに接続
36LCD_LD2InLCD拡張I/F LD2、CON2の25ピン、CON6の32ピンに接続
37LCD_LD1InLCD拡張I/F LD1、CON2の24ピン、CON6の33ピンに接続
38LCD_LD0InLCD拡張I/F LD0、CON2の23ピン、CON6の34ピンに接続
39PWMO1InLCD拡張I/F PWMO1、CON6の36ピン、LEDドライバのFBピンに接続
40LCD_OE_ACDInLCD拡張I/F OE_ACD、CON2の34ピン、CON6の37ピンに接続
41LCD_VSYNInLCD拡張I/F VSYN、CON2の33ピン、CON6の38ピンに接続
42LCD_HSYNInLCD拡張I/F HSYN、CON2の32ピン、CON6の39ピンに接続
43LCD_LSCLKInLCD拡張I/F LSCLK、CON2の30ピン、CON6の40ピンに接続
44GNDPower電源(GND)
45GNDPower電源(GND)
46+3.3VPower電源(+3.3V)
47+3.3VPower電源(+3.3V)
48VINPower電源(VIN)
49VINPower電源(VIN)
50VINPower電源(VIN)

9.2.2.2. CON2(LCD拡張インターフェース1)

CON2はLCD拡張インターフェースです。DATA IMAGE 社製LCD(FG040360DSSWBG03)と接続することが可能です。LCD拡張インターフェース1には、LCD、タッチパネル、バックライト電源の各インターフェースが含まれています。

[警告]

LCD拡張ボードのCON2とCON6は、共通の信号が接続されていますので同時に使用できません。CON6に他のLCDモジュールを接続する場合は、CON2からDATA IMAGE社製LCDを取り外してご使用ください。

表9.21 CON2信号配列

ピン番号信号名I/O機  能
1BL_LED_KPowerLEDドライバ電源(-端子)
2BL_LED_APowerLEDドライバ電源(+端子)
3GNDPower電源(GND)
4+3.3VPower電源(+3.3V)
5GNDPower電源(GND)
6GNDPower電源(GND)
7LCD_LD12OutLCD拡張I/F LD12、CON1の24ピン、CON6の20ピンに接続
8LCD_LD13OutLCD拡張I/F LD13、CON1の23ピン、CON6の19ピンに接続
9LCD_LD14OutLCD拡張I/F LD14、CON1の22ピン、CON6の18ピンに接続
10LCD_LD15OutLCD拡張I/F LD15、CON1の21ピン、CON6の17ピンに接続
11LCD_LD16OutLCD拡張I/F LD16、CON1の20ピン、CON6の16ピンに接続
12LCD_LD17OutLCD拡張I/F LD17、CON1の19ピン、CON6の15ピンに接続
13GNDPower電源(GND)
14GNDPower電源(GND)
15LCD_LD6OutLCD拡張I/F LD6、CON1の31ピン、CON6の27ピンに接続
16LCD_LD7OutLCD拡張I/F LD7、CON1の30ピン、CON6の26ピンに接続
17LCD_LD8OutLCD拡張I/F LD8、CON1の29ピン、CON6の25ピンに接続
18LCD_LD9OutLCD拡張I/F LD9、CON1の28ピン、CON6の24ピンに接続
19LCD_LD10OutLCD拡張I/F LD10、CON1の27ピン、CON6の23ピンに接続
20LCD_LD11OutLCD拡張I/F LD11、CON1の26ピン、CON6の22ピンに接続
21GNDPower電源(GND)
22GNDPower電源(GND)
23LCD_LD0OutLCD拡張I/F LD0、CON1の38ピン、CON6の34ピンに接続
24LCD_LD1OutLCD拡張I/F LD1、CON1の37ピン、CON6の33ピンに接続
25LCD_LD2OutLCD拡張I/F LD2、CON1の36ピン、CON6の32ピンに接続
26LCD_LD3OutLCD拡張I/F LD3、CON1の35ピン、CON6の31ピンに接続
27LCD_LD4OutLCD拡張I/F LD4、CON1の34ピン、CON6の30ピンに接続
28LCD_LD5OutLCD拡張I/F LD5、CON1の33ピン、CON6の29ピンに接続
29GNDPower電源(GND)
30DISPIn/OutTP6に接続、 +3.3Vで10kΩプルアップ
31LCD_LSCLKOutLCD拡張I/F LSCLK、CON1の43ピン、CON6の40ピンに接続
32LCD_HSYNOutLCD拡張I/F HSYN、CON1の42ピン、CON6の39ピンに接続
33LCD_VSYNOutLCD拡張I/F VSYN、CON1の41ピン、CON6の38ピンに接続
34LCD_OE_ACDOutLCD拡張I/F OE_ACD、CON1の40ピン、CON6の37ピンに接続
35NC--
36GNDPower電源(GND)
37TOUCH_XPIn/OutタッチパネルI/F XP、 CON1の17ピン、CON6の13ピンに接続
38TOUCH_YPIn/OutタッチパネルI/F YP、 CON1の15ピン、CON6の11ピンに接続
39TOUCH_XNIn/OutタッチパネルI/F XN、 CON1の16ピン、CON6の12ピンに接続
40TOUCH_YNIn/OutタッチパネルI/F YN、 CON1の14ピン、CON6の10ピンに接続

9.2.2.3. CON3(モノラルマイク入力)

CON3はモノラルマイク入力です。

表9.22 CON3信号配列

ピン番号信号名I/O機  能
1GNDPower電源(GND)
2MIC_INInコーデックIC(LCD拡張ボード IC2)のLIPピンに接続
3---
10---

9.2.2.4. CON4(ステレオヘッドホン出力)

CON4はステレオヘッドホン出力です。

表9.23 CON4信号配列

ピン番号信号名I/O機  能
1GNDPower電源(GND)
2HP_L_OUTOutコーデックIC(LCD拡張ボード IC2)のLOUT1ピンに接続
3HP_R_OUTOutコーデックIC(LCD拡張ボード IC2)のROUT1ピンに接続
10HP_DETInコーデックIC(LCD拡張ボード IC2)のL2/GPIO2ピンに接続

9.2.2.5. CON5(リザーブ端子)

CON5はリザーブ端子です。

表9.24 CON5信号配列

ピン番号信号名I/O機  能
1SPK_NOutコーデックIC(LCD拡張ボード IC2)のLOUT2ピンに接続
2SPK_POutコーデックIC(LCD拡張ボード IC2)のROUT2ピンに接続

9.2.2.6. CON6(LCD拡張インターフェース2)

CON6はLCD拡張インターフェースです。DATA IMAGE 社製LCD(FG040360DSSWBG03)と接続することが可能です。LCD拡張インターフェース2には、LCD、タッチパネルの各インターフェースが含まれています。

[警告]

LCD拡張ボードのCON2とCON6は、共通の信号が接続されていますので同時に使用できません。CON6に他のLCDモジュールを接続する場合は、CON2からDATA IMAGE社製LCDを取り外してご使用ください。

表9.25 CON6信号配列

ピン番号信号名I/O機  能
1VINPower電源(VIN)
2VINPower電源(VIN)
3+3.3VPower電源(+3.3V)
4+3.3VPower電源(+3.3V)
5GNDPower電源(GND)
6GNDPower電源(GND)
7GPIO2_30In/Outタクトスイッチ出力、SW3 の2ピン、CON6の7ピンに接続
8GPIO2_29In/Outタクトスイッチ出力、SW2 の2ピン、CON1の11ピンに接続
9GPIO2_20In/Outタクトスイッチ出力、SW1 の2ピン、CON1の12ピンに接続
10TOUCH_YNIn/OutタッチパネルI/F YN、 CON1の14ピン、CON1の40ピンに接続
11TOUCH_YPIn/OutタッチパネルI/F YP、 CON1の15ピン、CON1の38ピンに接続
12TOUCH_XNIn/OutタッチパネルI/F XN、 CON1の16ピン、CON1の39ピンに接続
13TOUCH_XPIn/OutタッチパネルI/F XP、 CON1の17ピン、CON1の37ピンに接続
14GNDPower電源(GND)
15LCD_LD17OutLCD拡張I/F LD17、CON2の12ピン、CON1の19ピンに接続
16LCD_LD16OutLCD拡張I/F LD16、CON2の11ピン、CON1の20ピンに接続
17LCD_LD15OutLCD拡張I/F LD15、CON2の10ピン、CON1の21ピンに接続
18LCD_LD14OutLCD拡張I/F LD14、CON2の9ピン、CON1の22ピンに接続
19LCD_LD13OutLCD拡張I/F LD13、CON2の8ピン、CON1の23ピンに接続
20LCD_LD12OutLCD拡張I/F LD12、CON2の7ピン、CON1の24ピンに接続
21GNDPower電源(GND)
22LCD_LD11OutLCD拡張I/F LD11、CON2の20ピン、CON1の26ピンに接続
23LCD_LD10OutLCD拡張I/F LD10、CON2の19ピン、CON1の27ピンに接続
24LCD_LD9OutLCD拡張I/F LD9、CON2の18ピン、CON1の28ピンに接続
25LCD_LD8OutLCD拡張I/F LD8、CON2の17ピン、CON1の29ピンに接続
26LCD_LD7OutLCD拡張I/F LD7、CON2の16ピン、CON1の30ピンに接続
27LCD_LD6OutLCD拡張I/F LD6、CON2の15ピン、CON1の31ピンに接続
28GNDPower電源(GND)
29LCD_LD5OutLCD拡張I/F LD5、CON2の28ピン、CON1の33ピンに接続
30LCD_LD4OutLCD拡張I/F LD4、CON2の27ピン、CON1の34ピンに接続
31LCD_LD3OutLCD拡張I/F LD3、CON2の26ピン、CON1の35ピンに接続
32LCD_LD2OutLCD拡張I/F LD2、CON2の25ピン、CON1の36ピンに接続
33LCD_LD1OutLCD拡張I/F LD1、CON2の24ピン、CON1の37ピンに接続
34LCD_LD0OutLCD拡張I/F LD0、CON2の23ピン、CON1の38ピンに接続
35GNDPower電源(GND)
36PWMO1OutLCD拡張I/F PWMO1、CON1の39ピン、LEDドライバのFBピンに接続
37LCD_OE_ACDOutLCD拡張I/F OE_ACD、CON1の40ピン、CON1の34ピンに接続
38LCD_VSYNOutLCD拡張I/F VSYN、CON1の41ピン、CON1の33ピンに接続
39LCD_HSYNOutLCD拡張I/F HSYN、CON1の42ピン、CON1の32ピンに接続
40LCD_LSCLKOutLCD拡張I/F LSCLK、CON1の43ピン、CON1の31ピンに接続

9.2.2.7. CON7(リザーブ端子)

CON7はリザーブ端子です。

表9.26 CON7信号配列

ピン番号信号名I/O機  能
1LED2InLED2に接続 (Low:消灯、 High:点灯)
2NC--
3LED3InLED3に接続 (Low:消灯、 High:点灯)
4NC--
5SW4In/OutSW4の2ピンに接続
6NC--
7SW5In/OutSW5の2ピンに接続
8NC--
9SW6In/OutSW6の2ピンに接続
10NC--

9.2.2.8. CON8、CON9、CON10 (RTC 外部バックアップ用電源入力)

CON8、CON9、CON10はRTC 外部バックアップ用電源入力コネクタです。電源が切断されても長期間時刻データを保持させたい場合に、別途リチウムコイン電池(CR またはBR)等の外部バッテリを接続することができます。

[警告]

CON8、CON9、CON10は共通の端子に接続されていますので、同時に使用することはできません。

表9.27 CON8信号配列

ピン番号信号名I/O機  能
1BATPowerRTC の外部バックアップ用電源入力
2BATPowerRTC の外部バックアップ用電源入力
3GNDPowerGND

表9.28 CON9信号配列

ピン番号信号名I/O機  能
1BATPowerRTC の外部バックアップ用電源入力
2GNDPowerGND

表9.29 CON10信号配列

ピン番号信号名I/O機  能
1BATPowerRTC の外部バックアップ用電源入力
2GNDPowerGND

9.2.2.9. SW1, SW2, SW3(ユーザースイッチ)

SW1, SW2, SW3 はユーザー側で自由に利用できるスイッチです。Almadillo-440/460と接続した場合、i.MX257のGPIOに接続されます。 スイッチに接続されたi.MX257 の信号がGPIO の入力モードに設定されている場合にスイッチ状態を取得できます。

表9.30 SW1, SW2, SW3の機能

SW機  能
SW1i.MX257のGPIO2_20に接続(Almadillo-440/460と接続時)
SW2i.MX257のGPIO2_29に接続(Almadillo-440/460と接続時)
SW3i.MX257のGPIO2_30に接続(Almadillo-440/460と接続時)

9.2.2.10. SW4, SW5, SW6(ユーザースイッチ リザーブ端子)

SW4, SW5, SW6 はユーザー側で自由に利用できるスイッチのリザーブ端子です。CON7に接続されています。

表9.31 SW4, SW5, SW6の機能

SW機  能
SW4CON7の5ピンに接続(SW未実装)
SW5CON7の7ピンに接続(SW未実装)
SW6CON7の9ピンに接続(SW未実装)

9.2.2.11. LED1(電源LED)

LED1 は電源LEDです。

表9.32 LED1の機能 - 「LCD拡張ボード(製品リビジョンA)」

LED名称(色)機  能
LED1電源LED(緑色,面実装)電源ON : 点灯、電源OFF : 消灯

9.2.2.12. LED2、LED3(ユーザーLED リザーブ端子)

LED1 はユーザー側で自由に利用できるLEDの リザーブ端子です。CON7と接続されています。

表9.33 LED2、LED3の機能

LED名称(色)機  能
LED2ユーザーLED(未実装)CON7の1ピンと接続 (High : 点灯、Low : 消灯)
LED3ユーザーLED(未実装)CON7の3ピンと接続 (High : 点灯、Low : 消灯)

9.2.3. 基板形状図

9.2.3.1. LCD拡張ボード

LCD拡張ボードの基板形状および固定穴寸法

図9.11 LCD拡張ボードの基板形状および固定穴寸法


LCD拡張ボードのコネクタ位置寸法

図9.12 LCD拡張ボードのコネクタ位置寸法


LCD拡張ボード(製品リビジョンB)には、LCDモジュールを金具等で固定する際に利用可能な穴があります。この穴を利用した固定金具の参考寸法図および組み立て例を示します。

LCD拡張ボードのLCD固定金具の参考寸法図

図9.13 LCD拡張ボードのLCD固定金具の参考寸法図


LCD拡張ボードのLCD固定金具の組み立て例

図9.14 LCD拡張ボードのLCD固定金具の組み立て例


9.2.4. 接続方法

LCDとLCD拡張ボードの接続方法を、図9.15「LCDとLCD拡張ボードの接続方法」に示します。LCD拡張ボード CON2のロックレバーを上げてLCDのフレキシブル基板(以下FPC)が止まるところまで挿入し、ロックレバーを下ろすことでFPCとFPCコネクタが接続します。

LCDとLCD拡張ボードの接続方法

図9.15 LCDとLCD拡張ボードの接続方法


[警告]

LCD拡張ボード CON2のロックレバーに力を強く加えるとコネクタが破損する恐れがありますので、FPCを取り付ける際には十分にご注意ください。

「Armadillo-440 液晶モデル開発セット」に付属するLCD拡張ボードは、LCDとLCD拡張基板を両面テープで図9.16「LCDとLCD拡張基板の接着」のように接着しています。

LCDとLCD拡張基板の接着

図9.16 LCDとLCD拡張基板の接着


[警告]

LCD拡張ボードの取り付けは、安全設計を行った上で安全に留意してご使用ください。

[警告]

付属の両面テープは、以下の理由から量産時の使用は推奨はいたしません。両面テープのご使用は、安全に留意してお使いください。

(1) 経年変化により両面テープの粘着力が低下し、LCDパネルが剥がれる恐れがあります。

(2) LCDパネルの表面を強く押すと両面テープが収縮し、LCD拡張基板と接触し、ショートする恐れがあります。

[警告]

両面テープをご使用の場合には、以下のことに十分ご注意ください。

付属の両面テープを指定の箇所に貼付した場合、両面テープがフレキシブルプリント基板(以下、FPC)の一部と干渉して貼付します。LCDパネルをLCD拡張基板から引き剥がす際に、FPCが両面テープに接着したまま引っ張られ断線することがあります。

Armadillo-440/460 とLCD拡張ボードは、ピッチ0.5mm 50ピンのフレキシブルフラットケーブル(以下FFC)により接続することができます。図9.18「Armadillo-440 と LCD拡張ボードの接続方法」図9.19「Armadillo-460 と LCD拡張ボードの接続方法」に接続例を示します。FFCコネクタのロックレバーを上げてFFCが止まるところまで挿入し、ロックレバーを下ろすことでFFCとFFCコネクタが接続します。

[警告]

Armadillo-440/460 のFFCコネクタ CON11 の1ピンとLCD拡張ボードのFFCコネクタ CON1 の50ピンが対応するように、FFCケーブルを接続してください。CON1の1ピンとCON11の1ピンが対応するようにFFCを接続しますと、電源とGNDがショートし破損の原因となりますので、接続には十分にご注意ください。

[警告]

FFCの電極はArmadillo-440/460 のFFCコネクタ CON11 あるいは LCD拡張ボードのFFCコネクタ CON1の上側となるように接続してください。FFCの電極が下側となるように接続しますと実装部品とFFCの電極が接触する可能性があります。

フレキシブルフラットケーブル(FFC)

図9.17 フレキシブルフラットケーブル(FFC)


Armadillo-440 と LCD拡張ボードの接続方法

図9.18 Armadillo-440 と LCD拡張ボードの接続方法


Armadillo-460 と LCD拡張ボードの接続方法

図9.19 Armadillo-460 と LCD拡張ボードの接続方法


Armadillo-460基板にはスリットがあり、FFCを基板の表面/裏面のどちらにも引き回すことが可能です。

Armadillo-460 のスリット

図9.20 Armadillo-460 のスリット


9.2.5. LCDパネルのドット欠けについて

LCDパネルはその性質上、一定の割合でドット欠け(点欠陥)が生じます。LCD拡張ボードに使用されているLCDパネルの点欠陥の許容範囲は、以下の基準に従います。

9.2.5.1. 点欠陥の定義

表9.34 点欠陥の定義

輝点全黒表示画面において、周辺同色画素より明るいと認識される点欠陥。
黒点全白表示画面において、周辺同色画素より暗いと認識される点欠陥。
連続点欠陥

輝点、黒点の点欠陥が複数にわたり連続して発生している物。

黒点-黒点、輝点-輝点のいずれの場合についても連続点欠陥とする。


9.2.5.2. 検査基準

表9.35 点欠陥許容範囲

欠陥許容範囲
輝点欠陥4個
黒点欠陥5個
2連続点欠陥

2組(輝点)

3組(黒点)

連続点欠陥(3連続以上)0個(輝点、黒点とも)
欠陥総数5個

9.3. Armadillo-400シリーズ RTCオプションモジュール(型番:OP-A400-RTCMOD-00)

9.3.1. ボード概要

Armadillo-400シリーズ RTCオプションモジュール(以下、RTCオプションモジュール)は、Armadillo-400シリーズの拡張インターフェース2(CON14)に接続可能なモジュール基板です。このRTCオプションモジュールには、セイコーインスツル社製のリアルタイムクロック(以下、RTC)が搭載されています。RTCは電気二重層コンデンサにより、電源切断後も一定時間動作することが可能です。長時間電源が切断されても時刻データを保持させたい場合は、別途外部バッテリを接続することができます。

[ティップ]

CON14の信号が、i.MX257の信号マルチプレクス機能でI2C2に設定されている場合に通信が可能です。

RTCオプションモジュールの主な仕様は次の通りです。

表9.36 RTCオプションモジュール(型番:OP-A400-RTCMOD-00)仕様

リアルタイムクロック(RTC) セイコーインスツル社製RTC「S-35390A」搭載
バックアップ

5日間程度(周囲温度25℃、参考値)

RTC外部バックアップコネクタ(CON2)経由で外部バッテリを接続可能

基板サイズ 10.0 × 22.0 mm
電源電圧 DC2.0~3.3V
使用温度範囲 -10~60℃(ただし結露なきこと)

[警告]

RTCの平均月差は、周囲温度25℃で±30秒程度(参考値)です。時間精度は、周囲温度に大きく影響を受けますので、ご使用の際は十分に特性の確認をお願いします。

[警告]

RTCバックアップ時間は、周囲温度、電圧印加時間等に大きく影響を受けますので、ご使用の際は十分に特性の確認をお願いします。

[警告]

RTCオプションモジュール(型番:OP-A400-RTCMOD-00)に搭載されているRTCバックアップ用の電気二重層コンデンサ(Panasonic社製EECEN0F204RK)は、有限寿命部品です。経時的に容量は減少し、内部抵抗は増大します。

電気二重層コンデンサの寿命予測には、一般的に10℃2倍則が適用できます。

Lx=Lo×2((To-Tx)/10)

ここで、Lo:上限温度における保証寿命(時間)、Lx:実使用時の推定寿命(時間)、To:上限温度(℃)、Tx:実使用時の周囲温度(℃)

メーカーで公開されているEECEN0F204RKの耐久性保証値は、+60℃で500時間(静電容量変化率が初期値の±30%以内、内部抵抗が4kΩ以下)です。周囲温度25℃で使用すると仮定した場合、推定寿命は以下になります。

Tx=500×2((60-25)/10)=約5600時間

なお、電気二重層コンデンサの寿命は充放電サイクル数ではなく、電圧が印加されている時間に影響しますので、上式で算出される時間は累積稼働時間となります。

規定の寿命を超えた場合、急激な特性劣化や液漏れに至る可能性があります。長期連続稼働が想定される量産製品に使用する場合は、定期的な点検・交換を行ってください。

9.3.2. インターフェース配置

RTCオプションモジュール(型番:OP-A400-RTCMOD-00)のインターフェース配置

図9.21 RTCオプションモジュール(型番:OP-A400-RTCMOD-00)のインターフェース配置


表9.37 RTCオプションモジュール(型番:OP-A400-RTCMOD-00)のインターフェース内容

部品番号インターフェース形状備考
CON1Armadillo-400シリーズ接続

ピンソケット(4P)

(2.54mmピッチ)

 
CON2RTC外部バックアップ用電源入力[a]

ピンヘッダ(2P)

DF13-2P-1.25DSA(ヒロセ電機)[b][c]

 

[a] RTC外部バックアップ用電源入力コネクタ(CON2)の信号配列は、「Armadillo-400シリーズ RTCオプションモジュール回路図」でご確認ください。

[b] 対応ソケット: DF13-2S-1.25C(ヒロセ電機)

[c] 対応バッテリ例: CR2032 WK11。詳しくは、各Armadillo販売代理店にお問い合わせください。


[警告]

RTC外部バックアップ用電源入力コネクタ(CON2)にリチウムコイン電池(CRまたはBR)以外のバッテリを接続する際は、「Armadillo-400シリーズ RTCオプションモジュール回路図」にて搭載部品の絶対定格値を超えないことをご確認の上、接続してください。

9.3.2.1. CON1(Armadillo-400 シリーズ接続コネクタ)

CON1はArmadillo-400 シリーズの拡張インターフェース(CON14)との接続コネクタです。

表9.38 CON1信号配列

ピン番号信号名I/O機  能
1+3.3VPower電源(+3.3V)
2GNDPower電源(GND)
3I2C2_SCLINRTC I2Cクロック、+3.3Vで1kΩプルアップ
4I2C2_SDAIn/OutRTC I2Cデータ、+3.3Vで1kΩプルアップ

9.3.2.2. CON2(Armadillo-400 シリーズ接続コネクタ)

CON2はRTCの外部バックアップ用電源入力コネクタです。電源が切断されても長期間時刻データを保持させたい場合は、別途外部バッテリを接続することができます。

表9.39 CON2信号配列

ピン番号信号名I/O機  能
1BATPowerRTC の外部バックアップ用電源入力
2GNDPowerGND

9.3.3. 基板形状図

RTCオプションモジュール(型番:OP-A400-RTCMOD-00)の基板形状

図9.22 RTCオプションモジュール(型番:OP-A400-RTCMOD-00)の基板形状


9.3.4. 組み立て方法

[ティップ]

ここではArmadillo-420/440の組み立て方法を説明しておりますが、Armadillo-460でも同様の方法で組み立てることが可能です。

RTCオプションモジュール(型番:OP-A400-RTCMOD-00)組み立て図

図9.23 RTCオプションモジュール(型番:OP-A400-RTCMOD-00)組み立て図


1

なべ子ねじ(M2、L=6mm、スプリングワッシャー+小径ワッシャー付)

2

RTCオプションモジュール本体

3

平ワッシャー

4

金属スペーサ(M2、L=11mm、平径=4mm)

5

Armadillo-400シリーズ

9.4. Armadillo-400シリーズ RTCオプションモジュール(型番:OP-A400-RTCMOD-01)

9.4.1. ボード概要

Armadillo-400シリーズ RTCオプションモジュール(以下、RTCオプションモジュール)は、Armadillo-400シリーズの拡張インターフェース2(CON14)に接続可能なモジュール基板です。セイコーインスツル社製のリアルタイムクロック(以下、RTC)が搭載されています。RTCは積層セラミックコンデンサにより、電源切断後も数分動作することが可能です。長時間電源が切断されても時刻データを保持させたい場合は、別途外部バッテリを接続することができます。

[ティップ]

CON14の信号が、i.MX257の信号マルチプレクス機能でI2C2に設定されている場合に通信が可能です。

RTCオプションモジュールの主な仕様は次の通りです。

表9.40 RTCオプションモジュール(型番:OP-A400-RTCMOD-01)仕様

リアルタイムクロック(RTC) セイコーインスツル社製RTC「S-35390A」搭載
バックアップ

300秒(Typ.)、60秒(Min.)

RTC外部バックアップコネクタ(CON2)経由で外部バッテリを接続可能

基板サイズ 10.0 × 22.0 mm
電源電圧 DC2.0~3.5V
使用温度範囲 -20~70℃(ただし結露なきこと)

[警告]

RTCの平均月差は、周囲温度25℃で±30秒程度(参考値)です。時間精度は、周囲温度に大きく影響を受けますので、ご使用の際は十分に特性の確認をお願いします。

[警告]

RTCバックアップ時間は、周囲温度、電圧印加時間等に大きく影響を受けますので、ご使用の際は十分に特性の確認をお願いします。

9.4.2. インターフェース配置

CON1はArmadillo-400 シリーズの拡張インターフェース(CON14)との接続コネクタです。

RTCオプションモジュール(型番:OP-A400-RTCMOD-01)のインターフェース配置

図9.24 RTCオプションモジュール(型番:OP-A400-RTCMOD-01)のインターフェース配置


表9.41 RTCオプションモジュール(型番:OP-A400-RTCMOD-01)のインターフェース内容

部品番号インターフェース形状備考
CON1Armadillo-400シリーズ接続

ピンソケット(4P)

(2.54mmピッチ)

 
CON2RTC外部バックアップ用電源入力[a]

ピンヘッダ(2P)

DF13-2P-1.25DSA(ヒロセ電機)[b][c]

 

[a] RTC外部バックアップ用電源入力コネクタ(CON2)の信号配列は、「Armadillo-400シリーズ RTCオプションモジュール回路図」でご確認ください。

[b] 対応ソケット: DF13-2S-1.25C(ヒロセ電機)

[c] 対応バッテリ例: CR2032 WK11。詳しくは、各Armadillo販売代理店にお問い合わせください。


[警告]

RTC外部バックアップ用電源入力コネクタ(CON2)にリチウムコイン電池(CRまたはBR)以外のバッテリを接続する際は、「Armadillo-400シリーズ RTCオプションモジュール回路図」にて搭載部品の絶対定格値を超えないことをご確認の上、接続してください。

9.4.2.1. CON1(Armadillo-400 シリーズ接続コネクタ)

CON1はArmadillo-400 シリーズの拡張インターフェース(CON14)との接続コネクタです。

表9.42 CON1信号配列

ピン番号信号名I/O機  能
1+3.3VPower電源(+3.3V)
2GNDPower電源(GND)
3I2C2_SCLINRTC I2Cクロック、+3.3Vで1kΩプルアップ
4I2C2_SDAIn/OutRTC I2Cデータ、+3.3Vで1kΩプルアップ

9.4.2.2. CON2(Armadillo-400 シリーズ接続コネクタ)

CON2はRTCの外部バックアップ用電源入力コネクタです。電源が切断されても長期間時刻データを保持させたい場合は、別途外部バッテリを接続することができます。

表9.43 CON2信号配列

ピン番号信号名I/O機  能
1BATPowerRTC の外部バックアップ用電源入力
2GNDPowerGND

9.4.3. 基板形状図

RTCオプションモジュール(型番:OP-A400-RTCMOD-01)の基板形状

図9.25 RTCオプションモジュール(型番:OP-A400-RTCMOD-01)の基板形状


9.4.4. 組み立て方法

[ティップ]

ここではArmadillo-420/440の組み立て方法を説明しておりますが、Armadillo-460でも同様の方法で組み立てることが可能です。

RTCオプションモジュール(型番:OP-A400-RTCMOD-01)組み立て図

図9.26 RTCオプションモジュール(型番:OP-A400-RTCMOD-01)組み立て図


1

なべ子ねじ(M2、L=6mm、スプリングワッシャー+小径ワッシャー付)

2

RTCオプションモジュール本体

3

平ワッシャー

4

金属スペーサ(M2、L=11mm、平径=4mm)

5

Armadillo-400シリーズ

9.5. Armadillo-400シリーズ WLANオプションモジュール(AWL12対応)(型番:OP-A400-AWLMOD-00)

9.5.1. ボード概要

Armadillo-400シリーズ WLANオプションモジュール(AWL12対応)(以下、WLANオプションモジュール(AWL12対応))は、Armadillo-400シリーズの拡張インターフェース(CON9、CON14)に接続可能なモジュール基板です。Armadillo-WLANモジュール(以下、AWL12)とArmadillo-400シリーズ WLANインターフェースボード(AWL12対応)(以下、WLANインターフェースボード(AWL12対応))で構成されています。WLANオプションモジュール(AWL12対応)にはセイコーインスツル社製のリアルタイムクロック(以下、RTC)が搭載されています。RTCは積層セラミックコンデンサにより、電源切断後も数分動作することが可能です。長時間電源が切断されても時刻データを保持させたい場合は、別途外部バッテリを接続することができます。

[ティップ]

CON9およびCON14の信号が、i.MX257の信号マルチプレクス機能でSDHC2やI2C2に設定されている場合に通信が可能です。

WLANオプションモジュール(AWL12対応)の主な仕様は次の通りです。AWL12の詳細な仕様については、Armadilloサイト製品マニュアルページの「Armadillo-WLAN(AWL12)ハードウェアマニュアル」にてご確認ください。

表9.44 WLANオプションモジュール(AWL12対応)仕様

無線LANモジュール Armadillo-WLANモジュール(AWL12-U00Z)搭載
リアルタイムクロック(RTC) セイコーインスツル社製RTC「S-35390A」搭載
バックアップ

300秒(Typ.)、60秒(Min.)

RTC外部バックアップコネクタ(CON5、CON6、CON7)経由で外部バッテリを接続可能

基板サイズ 41.0 × 50.0 mm
電源電圧 DC3.3±0.2V
使用温度範囲 -20~70℃(ただし結露なきこと)

[警告]

平均月差は、周囲温度25℃で±30秒程度(参考値)です。時間精度は、周囲温度に大きく影響を受けますので、ご使用の際は十分に特性の確認をお願いします。

[警告]

RTCバックアップ時間は、周囲温度、電圧印加時間等に大きく影響を受けますので、ご使用の際は十分に特性の確認をお願いします。

9.5.2. インターフェース配置

WLANオプションモジュール(AWL12対応)のインターフェース配置

図9.27 WLANオプションモジュール(AWL12対応)のインターフェース配置


表9.45 WLANオプションモジュール(AWL12対応)のインターフェース内容

記号インターフェース形状備考
CON1Armadillo-400シリーズ接続

ピンソケット(28P)

(2.54mmピッチ)

 
CON3SPI

ピンヘッダ(14P)

(2.54mmピッチ)

コネクタ非搭載
CON4シリアル

ピンヘッダ(5P)

(2.54mmピッチ)

コネクタ非搭載
CON5RTC外部バックアップ用電源入力1

ピンヘッダ(2P)

(1.25mmピッチ)

DF13-2P-1.25DS(20)(ヒロセ電機)[a][b]

 
CON6RTC外部バックアップ用電源入力2

ピンヘッダ(2P)

(2.54mmピッチ)

コネクタ非搭載
CON7RTC外部バックアップ用電源入力3

電池ホルダー

HU1220(タカチ電機工業)

コネクタ非搭載

対応電池:CR1220、BR1220

[a] 対応ソケット: DF13-2S-1.25C(ヒロセ電機)

[b] 対応バッテリ例: CR2032 WK11。詳しくは、各Armadillo販売代理店にお問い合わせください。


9.5.2.1. CON1 Armadillo-400シリーズ接続コネクタ

Armadillo-400シリーズの拡張インターフェース(CON9、CON14)との接続コネクタです。

表9.46 WLANオプションモジュール(AWL12対応) CON1 信号配列

ピン番号信号名I/O機能
1+3.3V_IOPower電源(+3.3V_IO)
2GNDPower電源(GND)
3I2C2_SCLInRTC I2Cクロック
4I2C2_SDAIn/OutRTC I2Cデータ
5SD_PWREN*InSDパワーイネーブル信号(0:ON状態、1:OFF状態)
6RTC_INT1*OutRTC割り込み信号
7NC--
8UART5_RXDOut

UART受信データ

WLANオプションモジュール(AWL12対応) CON4(2ピン)に接続

9NC--
10UART5_TXDIn

UART送信データ

WLANオプションモジュール(AWL12対応) CON4(3ピン)に接続

11+3.3V_IOPower電源(+3.3V_IO)
12+3.3V_IOPower電源(+3.3V_IO)
13GNDPowerGND
14GNDPowerGND
15NC--
16NC--
17NC--
18NC--
19SD2_WP-プルダウン(10kΩ抵抗)
20SD2_CMDIn/OutAWL12のSDCMD信号に接続
21SD2_CD*-プルダウン(10kΩ抵抗)
22SD2_CLKInAWL12のSDCLK信号に接続
23GNDPowerGND
24+3.3V_IOPower電源(+3.3V_IO)
25SD2_DAT0In/OutAWL12のSDDATA0信号に接続
26SD2_DAT1In/OutAWL12のSDDATA1信号に接続
27SD2_DAT2In/OutAWL12のSDDATA2信号に接続
28SD2_DAT3In/OutAWL12のSDDATA3信号に接続

9.5.2.2. CON3 SPIインターフェース

AWL12のSPIインターフェース用の信号が接続されたコネクタです。

表9.47 WLANオプションモジュール(AWL12対応) CON3 信号配列

ピン番号信号名I/O機能
1+3.3V_IOPower電源(+3.3V_IO)
2GNDPowerGND
3RSTInAWL12のRST信号に接続
4SPI_RDYOutAWL12のSPI_RDY信号に接続
5HOSTINTOutAWL12のHOSTINT信号に接続
6WAKEUPInAWL12のWAKEUP信号に接続
7SPI_FSInAWL12のSPI_FS信号に接続
8SPI_RXDInAWL12のSPI_RXD信号に接続
9SPI_TXDOutAWL12のSPI_TXD信号に接続
10SPI_CLKInAWL12のSPI_CLK信号に接続
11NC--
12NC--
13BOOT3InAWL12のBOOT3信号に接続
14GNDPowerGND

9.5.2.3. CON4 シリアルインターフェース

表9.48 WLANオプションモジュール(AWL12対応) CON4 信号配列

ピン番号信号名I/O機能
1NC  
2UART5_RXDInWLANオプションモジュール(AWL12対応) CON1(8ピン)に接続
3UART5_TXDOutWLANオプションモジュール(AWL12対応) CON1(10ピン)に接続
4+3.3V_IOPower電源(+3.3V_IO)
5GNDPowerGND

9.5.2.4. CON5、CON6、CON7 RTC外部バックアップコネクタ

WLANオプションモジュール(AWL12対応)に搭載されているRTCの外部バックアップコネクタです。電源が切断されても長期間時刻データを保持させたい場合は、別途外部バッテリを接続することができます。

表9.49 WLANオプションモジュール(AWL12対応) CON5、CON6 信号配列

ピン番号信号名I/O機能
1BATPowerRTCの外部バックアップ用電源入力
2GNDPowerGND

[警告]

CON5、CON6、CON7は共通の端子に接続されているので、同時に使用することはできません。

[警告]

RTC外部バックアップコネクタ(CON5、CON6)にリチウムコイン電池(CRまたはBR)以外のバッテリを接続する際は、「Armadillo-400シリーズ WLANインターフェースボード(AWL12対応)回路図」にて搭載部品の絶対定格値を超えないことをご確認の上、接続してください。

9.5.3. 基板形状図

WLANオプションモジュール(AWL12対応)の基板形状

図9.28 WLANオプションモジュール(AWL12対応)の基板形状


9.5.4. 組み立て方法

[ティップ]

ここではArmadillo-420/440の組み立て方法を説明しておりますが、Armadillo-460でも同様の方法で組み立てることが可能です。

9.5.4.1. AWL12とWLANインターフェースボード(AWL12対応)の組み立て

AWL12とWLANインターフェースボード(AWL12対応)の組み立て図

図9.29 AWL12とWLANインターフェースボード(AWL12対応)の組み立て図


1

ナット(M2、L=1.6mm、平径=4mm)

2

金属スペーサ(M2、L=1.5mm、直径=4mm)

3

なべ小ねじ(M2、L=8mm、スプリングワッシャー+小径平ワッシャー付)

[警告]

AWL12とWLANインターフェースボード(AWL12対応)のコネクタ位置を合わせ接続してください。無理に力を加えると破損の原因となりますので十分に注意してください。

9.5.4.2. WLANオプションモジュール(AWL12対応)とArmadillo-400シリーズの組み立て

WLANオプションモジュール(AWL12対応)とArmadillo-400シリーズの組み立て図

図9.30 WLANオプションモジュール(AWL12対応)とArmadillo-400シリーズの組み立て図


1

なべ小ねじ(M2、L=6mm、スプリングワッシャー+小径平ワッシャー付)

2

金属スペーサ(M2、L=11mm、平径=4mm)

3

ワッシャ(M2、L=0.3mm、直径=4.3mm)

9.5.4.3. WLANオプションモジュール(AWL12対応)と外付けアンテナの組み立て(評価・開発時)

WLANオプションモジュール(AWL12対応)と外付けアンテナの組み立て図(評価・開発時)

図9.31 WLANオプションモジュール(AWL12対応)と外付けアンテナの組み立て図(評価・開発時)


1

なべ小ねじ(M3、L=5mm)

2

樹脂スペーサ(M3、L=8mm、平径=5.5mm)

3

外付けアンテナ取り付け金具

4

外付けアンテナ

[警告]

AWL12のアンテナ端子に外付けアンテナケーブルを接続する際、無理な力を加えると破損の原因となりますので十分に注意してください。

[警告]

外付けアンテナケーブルを引き抜く際は、専用の引き抜き治具(U.FL-LP-N-2:ヒロセ電機)を用いて行うことを推奨します。引き抜き治具を用いずに引き抜いた場合にコネクタの変形やケーブルの断線等の原因となります。

外付けアンテナケーブルの引き抜き方法

図9.32 外付けアンテナケーブルの引き抜き方法


[警告]

AWL12のアンテナ端子に外付けアンテナケーブルを長期間接続した場合、、同軸コネクタのスイッチ内部のバネ弾性力がなくなり、スイッチ機能が復帰しない場合があります。復帰しない場合はチップアンテナが使用できなくなります。

評価・開発時において、WLANオプションモジュール(AWL12対応)を長期使用しない場合は、AWL12のアンテナ端子から外付けアンテナケーブルを外した状態で保存してください。また、量産機器にWLANオプションモジュール(AWL12対応)を組込んでご使用いただく場合、外部アンテナからチップアンテナへの接続変更は推奨できません。

9.6. Armadillo-400シリーズ WLANオプションモジュール(AWL13対応)(型番:OP-A400-AWLMOD-10)

9.6.1. ボード概要

Armadillo-400シリーズ WLANオプションモジュール(AWL13対応)(以下、WLANオプションモジュール(AWL13対応))は、Armadillo-400シリーズの拡張インターフェース(CON9、CON14)に接続可能なモジュール基板です。Armadillo-WLANモジュール(以下、AWL13)とArmadillo-400シリーズ WLANインターフェースボード(AWL13対応)(以下、WLANインターフェースボード(AWL13対応))で構成されています。WLANオプションモジュール(AWL13対応)にはセイコーインスツル社製のリアルタイムクロック(以下、RTC)が搭載されています。RTCは積層セラミックコンデンサにより、電源切断後も数分動作することが可能です。長時間電源が切断されても時刻データを保持させたい場合は、別途外部バッテリを接続することができます。

[ティップ]

CON9およびCON14の信号が、i.MX257の信号マルチプレクス機能でSDHC2やI2C2に設定されている場合に通信が可能です。

WLANオプションモジュール(AWL13対応)の主な仕様は次の通りです。AWL13の詳細な仕様については、Armadilloサイト製品マニュアルページの「Armadillo-WLAN(AWL13)ハードウェアマニュアル」にてご確認ください。

表9.50 WLANオプションモジュール(AWL13対応)仕様

無線LANモジュールArmadillo-WLANモジュール(AWL13-U00Z)搭載
リアルタイムクロック(RTC)セイコーインスツル社製RTC「S-35390A」搭載
バックアップ

300秒(Typ.)、60秒(Min.)

RTC外部バックアップコネクタ(CON5、CON6、CON7)経由で外部バッテリを接続可能

基板サイズ41.0 x 50.0 mm
電源電圧 DC3.3±0.2V
使用温度範囲-20~70℃(ただし結露なきこと)

[警告]

平均月差は、周囲温度25℃で±30秒程度(参考値)です。時間精度は、周囲温度に大きく影響を受けますので、ご使用の際は十分に特性の確認をお願いします。

[警告]

RTCバックアップ時間は、周囲温度、電圧印加時間等に大きく影響を受けますので、ご使用の際は十分に特性の確認をお願いします。

9.6.2. インターフェース配置

WLANオプションモジュール(AWL13対応)のインターフェース配置

図9.33 WLANオプションモジュール(AWL13対応)のインターフェース配置


表9.51 WLANオプションモジュール(AWL13対応)のインターフェース内容

記号インターフェース形状備考
CON1Armadillo-400シリーズ接続

ピンソケット(28P)

(2.54mmピッチ)

 
CON3GPIO、UART

ピンヘッダ(14P)

(2.54mmピッチ)

コネクタ非搭載
CON4I2C

ピンヘッダ(4P)

(2.54mmピッチ)

コネクタ非搭載
CON5RTC外部バックアップ用電源入力1

ピンヘッダ(2P)

(1.25mmピッチ)

DF13-2P-1.25DS(20)(ヒロセ電機)[a][b]

 
CON6RTC外部バックアップ用電源入力2

ピンヘッダ(2P)

(2.54mmピッチ)

コネクタ非搭載
CON7RTC外部バックアップ用電源入力3

電池ホルダー

HU1220(タカチ電機工業)

コネクタ非搭載 対応電池:CR1220、BR1220

[a] 対応ソケット: DF13-2S-1.25C(ヒロセ電機)

[b] 対応バッテリ例: CR2032 WK11。詳しくは、各Armadillo販売代理店にお問い合わせください。


9.6.2.1. CON1 Armadillo-400シリーズ接続コネクタ

Armadillo-400シリーズの拡張インターフェース(CON9、CON14)との接続コネクタです。

表9.52 WLANオプションモジュール(AWL13対応) CON1 信号配列

ピン番号信号名I/O機能
1+3.3V_IOPower電源(+3.3V_IO)
2GNDPower電源(GND)
3I2C2_SCLIn

I2Cクロック

WLANオプションモジュール(AWL13対応)CON4(3ピン)に接続

4I2C2_SDAIn/Out

I2Cデータ

WLANオプションモジュール(AWL13対応)CON4(4ピン)に接続

5SD_PWREN*InSDパワーイネーブル信号(0:ON状態、1:OFF状態)
6RTC_INT1*OutRTC割り込み信号
7GPIO1_14In/Out

汎用GPIO

WLANオプションモジュール(AWL13対応)CON3(3ピン)に接続

8UART5_RXDOut

UART受信データ

WLANオプションモジュール(AWL13対応)CON3(4ピン)に接続

9GPIO1_15In/Out

汎用GPIO

WLANオプションモジュール(AWL13対応)CON3(5ピン)に接続

10UART5_TXDIn

UART送信データ

WLANオプションモジュール(AWL13対応)CON3(6ピン)に接続

11+3.3V_IOPower電源(+3.3V_IO)
12+3.3V_IOPower電源(+3.3V_IO)
13GNDPower電源(GND)
14GNDPower電源(GND)
15GPIO1_17In/Out

汎用GPIO

WLANオプションモジュール(AWL13対応)CON3(11ピン)に接続

16GPIO1_29In/Out

汎用GPIO

WLANオプションモジュール(AWL13対応)CON3(12ピン)に接続

17GPIO1_18In/Out

汎用GPIO

WLANオプションモジュール(AWL13対応)CON3(13ピン)に接続

18GPIO1_30In/Out

汎用GPIO

WLANオプションモジュール(AWL13対応)CON3(14ピン)に接続

19SD2_WP-

SDIOライトプロテクト検出

プルダウン(10kΩ抵抗)

20SD2_CMDIn/Out

SDIOコマンド

AWL13のSDCMD信号に接続

21SD2_CD*-

SDIOカード検出

プルダウン(10kΩ抵抗)

22SD2_CLKIn

SDIOクロック

AWL13のSDCLK信号に接続

23GNDPower電源(GND)
24+3.3V_IOPower電源(+3.3V_IO)
25SD2_DAT0In/Out

SDIOデータ0

AWL13のSDDATA0信号に接続

26SD2_DAT1In/Out

SDIOデータ1

AWL13のSDDATA1信号に接続

27SD2_DAT2In/Out

SDIOデータ2

AWL13のSDDATA2信号に接続

28SD2_DAT3In/Out

SDIOデータ3

AWL13のSDDATA3信号に接続


9.6.2.2. CON3 GPIO、UARTインターフェース

CON3はArmadillo-400シリーズのGPIO、UARTと接続するためのインターフェースです。コネクタは実装していません。

表9.53 WLANオプションモジュール(AWL13対応) CON3 信号配列

ピン番号信号名I/O機能
1NC-未接続
2NC-未接続
3GPIO1_14In/Out

汎用GPIO

WLANオプションモジュール(AWL13対応)CON1(7ピン)に接続

4UART5_RXDIn

UART受信データ

WLANオプションモジュール(AWL13対応)CON1(8ピン)に接続

5GPIO1_15In/Out

汎用GPIO

WLANオプションモジュール(AWL13対応)CON1(9ピン)に接続

6UART5_TXDOut

UART送信データ

WLANオプションモジュール(AWL13対応)CON1(10ピン)に接続

7+3.3V_IOPower電源(+3.3V_IO)
8+3.3V_IOPower電源(+3.3V_IO)
9GNDPower電源(GND)
10GNDPower電源(GND)
11GPIO1_17In/Out

汎用GPIO

WLANオプションモジュール(AWL13対応)CON1(15ピン)に接続

12GPIO1_29In/Out

汎用GPIO

WLANオプションモジュール(AWL13対応)CON1(16ピン)に接続

13GPIO1_18In/Out

汎用GPIO

WLANオプションモジュール(AWL13対応)CON1(17ピン)に接続

14GPIO1_30In/Out

汎用GPIO

WLANオプションモジュール(AWL13対応)CON1(18ピン)に接続


9.6.2.3. CON4 I2Cインターフェース

CON4はArmadillo-400シリーズのI2Cと接続するためのインターフェースです。コネクタは実装していません。

表9.54 WLANオプションモジュール(AWL13対応) CON4 信号配列

ピン番号信号名I/O機能
1+3.3V_IOPower電源(+3.3V_IO)
2GNDPower電源(GND)
3I2C2_SCLIn

I2Cクロック

WLANオプションモジュール(AWL13対応)CON1(3ピン)に接続

4I2C2_SDAOut

I2Cデータ

WLANオプションモジュール(AWL13対応)CON1(4ピン)に接続


9.6.2.4. CON5、CON6、CON7 外部バックアップコネクタ

WLANオプションモジュール(AWL13対応)に搭載されているRTCの外部バックアップコネクタです。電源が切断されても長期間時刻データを保持させたい場合は、別途外部バッテリを接続することができます。

表9.55 WLANオプションモジュール(AWL13対応) CON5、CON6 信号配列

ピン番号信号名I/O機能
1BATPowerRTCの外部バックアップ用電源入力
2GNDPowerGND

[警告]

CON5、CON6、CON7は共通の端子に接続されているので、同時に使用することはできません。

[警告]

RTC外部バックアップコネクタ(CON5、CON6)にリチウムコイン電池(CRまたはBR)以外のバッテリを接続する際は、「Armadillo-400シリーズ WLANインターフェースボード(AWL13対応)回路図」にて搭載部品の絶対定格値を超えないことをご確認の上、接続してください。

9.6.3. 基板形状図

WLANオプションモジュール(AWL13対応)の基板形状

図9.34 WLANオプションモジュール(AWL13対応)の基板形状


9.6.4. 組み立て方法

[ティップ]

ここではArmadillo-420/440を例に組み立て方法を説明しておりますが、Armadillo-460でも同様の方法で組み立てることが可能です。

9.6.4.1. AWL13とWLANインターフェースボード(AWL13対応)の組み立て

AWL13とWLANインターフェースボード(AWL13対応)の組み立て図

図9.35 AWL13とWLANインターフェースボード(AWL13対応)の組み立て図


1

ナット(M2、L=1.6mm、平径=4mm)

2

金属スペーサ(M2、L=1.5mm、直径=4mm)

3

なべ小ねじ(M2、L=8mm、スプリングワッシャー+小径平ワッシャー付)

[警告]

AWL13とWLANインターフェースボード(AWL13対応)のコネクタ位置を合わせ接続してください。無理に力を加えると破損の原因となりますので十分に注意してください。

9.6.4.2. WLANオプションモジュール(AWL13対応)とArmadillo-400シリーズの組み立て

WLANオプションモジュール(AWL13対応)とArmadillo-400シリーズの組み立て図

図9.36 WLANオプションモジュール(AWL13対応)とArmadillo-400シリーズの組み立て図


1

なべ小ねじ(M2、L=6mm、スプリングワッシャー+小径平ワッシャー付)

2

金属スペーサ(M2、L=11mm、平径=4mm)

3

ワッシャ(M2、L=0.3mm、直径=4.3mm)

9.6.4.3. WLANオプションモジュール(AWL13対応)と外付けアンテナの組み立て(評価・開発時)

WLANオプションモジュール(AWL13対応)と外付けアンテナの組み立て図(評価・開発時)

図9.37 WLANオプションモジュール(AWL13対応)と外付けアンテナの組み立て図(評価・開発時)


1

なべ小ねじ(M3、L=5mm)

2

樹脂スペーサ(M3、L=8mm、平径=5.5mm)

3

外付けアンテナ取り付け金具

4

外付けアンテナ

[警告]

AWL13のアンテナ端子に外付けアンテナケーブルを接続する際、無理な力を加えると破損の原因となりますので十分に注意してください。

[警告]

外付けアンテナケーブルを引き抜く際は、専用の引き抜き治具(U.FL-LP-N-2:ヒロセ電機)を用いて行うことを推奨します。引き抜き治具を用いずに引き抜いた場合にコネクタの変形やケーブルの断線等の原因となります。

外付けアンテナケーブルの引き抜き方法

図9.38 外付けアンテナケーブルの引き抜き方法


[警告]

AWL13のアンテナ端子に外付けアンテナケーブルを長期間接続した場合、同軸コネクタのスイッチ内部のバネ弾性力がなくなり、スイッチ機能が復帰しない場合があります。復帰しない場合はチップアンテナが使用できなくなります。

評価・開発時において、WLANオプションモジュール(AWL13対応)を長期使用しない場合は、AWL13のアンテナ端子から外付けアンテナケーブルを外した状態で保存してください。また、量産機器にWLANオプションモジュール(AWL13対応)を組込んでご使用いただく場合、外部アンテナからチップアンテナへの接続変更は推奨できません。