Armadillo-400シリーズ
ハードウェアマニュアル


目次

1. はじめに
1.1. 本書および関連ファイルについて
1.2. 本書の構成
1.3. アイコン
2. 注意事項
2.1. 安全に関する注意事項
2.2. 取扱い上の注意事項
2.3. 製品の保管について
2.4. ソフトウェア使用に関しての注意事項
2.5. 書込み禁止領域について
2.6. 電波障害について
2.7. 無線モジュールの安全規制について
2.8. 保証について
2.9. 輸出について
2.10. 商標について
3. 概要
3.1. ボード概要
3.2. Armadillo-420/440
3.2.1. ブロック図 -「Armadillo-420/440」
3.2.2. 電源回路の構成 -「Armadillo-420/440」
3.2.3. 電源シーケンス -「Armadillo-420/440」
3.2.4. リセット回路の構成 -「Armadillo-420/440」
3.2.5. リセットシーケンス -「Armadillo-420/440」
3.3. Armadillo-460
3.3.1. ブロック図 -「Armadillo-460」
3.3.2. 電源回路の構成 -「Armadillo-460」
3.3.3. 電源シーケンス -「Armadillo-460」
3.3.4. リセット回路の構成 -「Armadillo-460」
3.3.5. リセットシーケンス -「Armadillo-460」
4. メモリマップ
4.1. Armadillo-420/440
4.1.1. 物理メモリマップ - 「Armadillo-420/440」
4.2. Armadillo-460
4.2.1. 物理メモリマップ - 「Armadillo-460」
4.2.2. CS3/CS4空間 - 「Armadillo-460(3.3V高速拡張バスモード)」
5. インターフェース仕様 - 「Armadillo-420/440」
5.1. インターフェースの配置 - 「Armadillo-420/440」
5.1.1. Armadillo-420インターフェースの配置
5.1.2. Armadillo-440インターフェースの配置
5.2. 電気的仕様 - 「Armadillo-420/440」
5.2.1. 入出力インターフェースの電気的仕様 - 「Armadillo-420/440」
5.3. 各種インターフェース - 「Armadillo-420/440」
5.3.1. CON1(SDインターフェース) - 「Armadillo-420/440」
5.3.1.1. microSDカードの挿入方法
5.3.1.2. microSDカードの抜去方法
5.3.2. CON2、 CON7(LANインターフェース) - 「Armadillo-420/440」
5.3.3. CON3、 CON4(シリアルインターフェース1) - 「Armadillo-420/440」
5.3.4. CON5、 CON6(USBインターフェース) - 「Armadillo-420/440」
5.3.5. CON8(外部リセット) - 「Armadillo-420/440」
5.3.6. CON9(拡張インターフェース1) - 「Armadillo-420/440」
5.3.7. CON10(i.MX257 JTAGインターフェース) - 「Armadillo-420/440」
5.3.8. CON11(LCD拡張インターフェース) - 「Armadillo-440」
5.3.9. CON12、 CON13(電源入力) - 「Armadillo-420/440」
5.3.10. CON14(拡張インターフェース2) - 「Armadillo-420/440」
5.3.11. LED1、 LED2(LAN LED) - 「Armadillo-420/440」
5.3.12. LED3、 LED4、 LED5(ユーザーLED) - 「Armadillo-420/440」
5.3.13. SW1(ユーザースイッチ) - 「Armadillo-420/440」
5.3.14. JP1(起動モード設定ジャンパ) - 「Armadillo-420/440」
5.3.15. JP2(ユーザージャンパ) - 「Armadillo-420/440」
6. インターフェース仕様 - 「Armadillo-460」
6.1. インターフェースの配置 - 「Armadillo-460」
6.1.1. Armadillo-460インターフェースの配置
6.2. 電気的仕様 - 「Armadillo-460」
6.2.1. 入出力インターフェースの電気的仕様 - 「Armadillo-460」
6.2.2. 拡張バスインターフェースの電気的仕様 - 「Armadillo-460」
6.3. 各種インターフェース - 「Armadillo-460」
6.3.1. CON1、 CON23、 CON25(SDインターフェース) - 「Armadillo-460」
6.3.2. CON2、 CON7(LANインターフェース) - 「Armadillo-460」
6.3.3. CON3、 CON4、 CON19(シリアルインターフェース) - 「Armadillo-460」
6.3.3.1. CON3、 CON4(シリアルインターフェース1) - 「Armadillo-460」
6.3.3.2. CON19(シリアルインターフェース4) - 「Armadillo-460」
6.3.4. CON5、 CON6、 CON17、 CON18(USBインターフェース) - 「Armadillo-460」
6.3.5. CON8、 SW2、 SW4(外部リセット) - 「Armadillo-460」
6.3.6. CON9(拡張インターフェース1) - 「Armadillo-460」
6.3.7. CON10(i.MX257 JTAGインターフェース) - 「Armadillo-460」
6.3.8. CON11(LCD拡張インターフェース) - 「Armadillo-460」
6.3.9. CON12、 CON13(電源入力) - 「Armadillo-460」
6.3.10. CON14(拡張インターフェース2) - 「Armadillo-460」
6.3.11. CON20(RTC外部バックアップ用電源入力) - 「Armadillo-460」
6.3.12. CON21(電源出力) - 「Armadillo-460」
6.3.13. J1、J2(拡張バスインターフェース) - 「Armadillo-460」
6.3.13.1. PC/104拡張バス互換モード設定時の信号配列 - 「Armadillo-460」
6.3.13.2. 3.3V高速拡張バスモード設定時の信号配列 - 「Armadillo-460」
6.3.13.3. 拡張バスの構成 - 「Armadillo-460」
6.3.14. LED1、 LED2(LAN LED) - 「Armadillo-460」
6.3.15. LED3、 LED4、 LED5(ユーザーLED) - 「Armadillo-460」
6.3.16. SW1、 SW3、 CON22(ユーザースイッチ) - 「Armadillo-460」
6.3.17. JP1(起動モード設定ジャンパ) - 「Armadillo-460」
6.3.18. JP2(ユーザージャンパ) - 「Armadillo-460」
6.3.19. オンボードリアルタイムクロック - 「Armadillo-460」
6.3.19.1. オンボードリアルタイムクロックの構成 - 「Armadillo-460」
7. 設計情報
7.1. 参考回路例
7.1.1. GPIO
7.1.2. キーパッド
7.1.3. CAN
7.1.4. 3.3V高速拡張バスモード対応拡張基板の参考コード例
7.2. 製品化に向けて
7.2.1. 放射ノイズ
7.2.2. ESD/雷サージ
8. 基板形状図
8.1. Armadillo-420基板形状図
8.2. Armadillo-440基板形状図
8.3. Armadillo-460基板形状図
9. 拡張ボード/オプションモジュール
9.1. Armadillo-400シリーズ LCDオプションセット(型番:OP-A400-LCD43EXT-L00)
9.1.1. 概要
9.1.2. インターフェース配置
9.1.2.1. CON1(Armadillo-440/460接続コネクタ)
9.1.2.2. CON2(LCD拡張インターフェース1)
9.1.2.3. CON3(モノラルマイク入力)
9.1.2.4. CON4(ステレオヘッドホン出力)
9.1.2.5. CON5(リザーブ端子)
9.1.2.6. CON6(LCD拡張インターフェース2)
9.1.2.7. CON7(リザーブ端子)
9.1.2.8. SW1, SW2, SW3(ユーザースイッチ)
9.1.2.9. SW4, SW5, SW6(ユーザースイッチ リザーブ端子)
9.1.2.10. LED1(電源LED)
9.1.2.11. LED2、LED3(ユーザーLED リザーブ端子)
9.1.3. 基板形状図
9.1.3.1. LCD拡張ボード
9.1.4. 接続方法
9.1.5. LCDパネルのドット欠けについて
9.1.5.1. 点欠陥の定義
9.1.5.2. 検査基準
9.2. Armadillo-400シリーズ LCDオプションセット(型番:OP-A400-LCD43EXT-L01)
9.2.1. 概要
9.2.2. インターフェース配置
9.2.2.1. CON1(Armadillo-440/460接続コネクタ)
9.2.2.2. CON2(LCD拡張インターフェース1)
9.2.2.3. CON3(モノラルマイク入力)
9.2.2.4. CON4(ステレオヘッドホン出力)
9.2.2.5. CON5(リザーブ端子)
9.2.2.6. CON6(LCD拡張インターフェース2)
9.2.2.7. CON7(リザーブ端子)
9.2.2.8. CON8、CON9、CON10 (RTC 外部バックアップ用電源入力)
9.2.2.9. SW1, SW2, SW3(ユーザースイッチ)
9.2.2.10. SW4, SW5, SW6(ユーザースイッチ リザーブ端子)
9.2.2.11. LED1(電源LED)
9.2.2.12. LED2、LED3(ユーザーLED リザーブ端子)
9.2.3. 基板形状図
9.2.3.1. LCD拡張ボード
9.2.4. 接続方法
9.2.5. LCDパネルのドット欠けについて
9.2.5.1. 点欠陥の定義
9.2.5.2. 検査基準
9.3. Armadillo-400シリーズ RTCオプションモジュール(型番:OP-A400-RTCMOD-00)
9.3.1. ボード概要
9.3.2. インターフェース配置
9.3.2.1. CON1(Armadillo-400 シリーズ接続コネクタ)
9.3.2.2. CON2(Armadillo-400 シリーズ接続コネクタ)
9.3.3. 基板形状図
9.3.4. 組み立て方法
9.4. Armadillo-400シリーズ RTCオプションモジュール(型番:OP-A400-RTCMOD-01)
9.4.1. ボード概要
9.4.2. インターフェース配置
9.4.2.1. CON1(Armadillo-400 シリーズ接続コネクタ)
9.4.2.2. CON2(Armadillo-400 シリーズ接続コネクタ)
9.4.3. 基板形状図
9.4.4. 組み立て方法
9.5. Armadillo-400シリーズ WLANオプションモジュール(AWL12対応)(型番:OP-A400-AWLMOD-00)
9.5.1. ボード概要
9.5.2. インターフェース配置
9.5.2.1. CON1 Armadillo-400シリーズ接続コネクタ
9.5.2.2. CON3 SPIインターフェース
9.5.2.3. CON4 シリアルインターフェース
9.5.2.4. CON5、CON6、CON7 RTC外部バックアップコネクタ
9.5.3. 基板形状図
9.5.4. 組み立て方法
9.5.4.1. AWL12とWLANインターフェースボード(AWL12対応)の組み立て
9.5.4.2. WLANオプションモジュール(AWL12対応)とArmadillo-400シリーズの組み立て
9.5.4.3. WLANオプションモジュール(AWL12対応)と外付けアンテナの組み立て(評価・開発時)
9.6. Armadillo-400シリーズ WLANオプションモジュール(AWL13対応)(型番:OP-A400-AWLMOD-10)
9.6.1. ボード概要
9.6.2. インターフェース配置
9.6.2.1. CON1 Armadillo-400シリーズ接続コネクタ
9.6.2.2. CON3 GPIO、UARTインターフェース
9.6.2.3. CON4 I2Cインターフェース
9.6.2.4. CON5、CON6、CON7 外部バックアップコネクタ
9.6.3. 基板形状図
9.6.4. 組み立て方法
9.6.4.1. AWL13とWLANインターフェースボード(AWL13対応)の組み立て
9.6.4.2. WLANオプションモジュール(AWL13対応)とArmadillo-400シリーズの組み立て
9.6.4.3. WLANオプションモジュール(AWL13対応)と外付けアンテナの組み立て(評価・開発時)
10. ケース
10.1. 樹脂ケース(型番:OP-CASE400-PLA-00)
10.2. 金属ケース(型番:OP-CASE400-MET-00)
A. Armadillo-400シリーズ JTAG変換ケーブル(OP-JC8P25-00)
B. 拡張インターフェースの初期設定
C. コネクタ情報
D. 抵抗情報 - 「Armadillo-460」
E. CPLDレジスタ - 「Armadillo-460」
E.1. CPLDレジスタのメモリマップ
E.2. CPLDレジスタ
E.2.1. Ext Interrupt Status Register0 (0xA800 0000)
E.2.2. Ext Interrupt Status Register1 (0xA800 0001)
E.2.3. Ext Interrupt Mask Register0 (0xA800 0002)
E.2.4. Ext Interrupt Mask Register1 (0xA800 0003)
E.2.5. Ext Interrupt Polarity Type Register0 (0xA800 0004)
E.2.6. Ext Interrupt Polarity Type Register1 (0xA800 0005)
E.2.7. Ext Interrupt Detection Type Register0 (0xA800 0006)
E.2.8. Ext Interrupt Detection Type Register1 (0xA800 0007)
E.2.9. Ext Bus Control Register (0xA800 0008)
E.2.10. Ext I/F Control Register (0xA800 0009)
E.2.11. RTC Control Register (0xA800 000A)
E.2.12. CPLD Version Register (0xA800 000F)
F. RS232C レベル変換アダプタ(OP-SCLVL-10)

図目次

2.1. 無線LAN モジュール: AWL13 認証マーク
3.1. Armadillo-420/440 ブロック図
3.2. 電源回路構成図 -「Armadillo-420/440」
3.3. 電源の入力容量構成 -「Armadillo-420/440」
3.4. 電源シーケンス -「Armadillo-420/440」
3.5. リセット回路系統図 -「Armadillo-420/440」
3.6. リセット回路構成 -「Armadillo-420/440」
3.7. リセットシーケンス -「Armadillo-420/440」
3.8. Armadillo-460 ブロック図
3.9. 電源回路構成図 -「Armadillo-460」
3.10. 電源の入力容量構成 -「Armadillo-460」
3.11. 電源シーケンス -「Armadillo-460」
3.12. リセット回路系統図 -「Armadillo-460」
3.13. リセット回路構成 -「Armadillo-460」
3.14. リセットシーケンス -「Armadillo-460」
5.1. Armadillo-420インターフェースの配置
5.2. Armadillo-440インターフェースの配置
5.3. カードの挿入1
5.4. カードの挿入2
5.5. カードの挿入3
5.6. カードの挿入4
5.7. カードの挿入5
5.8. 正常なカード挿入状態(カードと基板が平行)
5.9. 異常なカード挿入状態(カードと基板が平行でない)
5.10. カードの抜去1
5.11. カードの抜去2
5.12. カードの抜去3
5.13. ACTIVITY_LED信号およびLINK_LED信号の回路構成 - 「Armadillo-420/440」
5.14. ACアダプターの極性マーク - 「Armadillo-420/440」
6.1. Armadillo-460インターフェースの配置
6.2. SDカードの挿抜方法 - 「Armadillo-460」
6.3. ACTIVITY_LED信号およびLINK_LED信号の回路構成 - 「Armadillo-460」
6.4. CON11/CON19 接続先セレクタ - 「Armadillo-460」
6.5. ACアダプターの極性マーク - 「Armadillo-460」
6.6. 割り込みコントローラの仕組み
6.7. PC/104拡張バス互換モード時のメモリ空間
6.8. PC/104拡張バス互換モード時のバスへのアクセス方法
6.9. PC/104拡張バス互換モードのバスアクセスタイミング
6.10. CPUから入出力ピン(J1、J2)までの配線
6.11. 入力信号のタイミング
6.12. 出力信号のタイミング
6.13. RDY*を使用する場合の3.3V高速拡張バスモード(同期)のバスアクセスタイミング(Read)
6.14. RDY*を使用する場合の3.3V高速拡張バスモード(同期)のバスアクセスタイミング(Write)
6.15. オンボードリアルタイムクロックの電源構成 - 「Armadillo-460」
6.16. オンボードリアルタイムクロックとCPLDの接続 - 「Armadillo-460」
7.1. GPIOの参考回路例
7.2. キーパッド信号の参考回路例
7.3. CAN信号の参考回路例
7.4. 3.3V高速拡張バスモード(同期)の参考アクセス例
8.1. Armadillo-420の基板形状および固定穴寸法
8.2. Armadillo-420のコネクタ中心寸法
8.3. Armadillo-420のコネクタ穴寸法
8.4. Armadillo-420 量産基板の部品配置
8.5. Armadillo-440の基板形状および固定穴寸法
8.6. Armadillo-440のコネクタ中心寸法
8.7. Armadillo-440のコネクタ穴寸法
8.8. Armadillo-440 量産基板の部品配置
8.9. Armadillo-460の基板形状および固定穴寸法
8.10. Armadillo-460のコネクタ中心寸法
8.11. Armadillo-460(SDコネクタ変更後)のコネクタ中心寸法
8.12. Armadillo-460のコネクタ穴寸法
8.13. Armadillo-460 量産基板の部品配置
8.14. Armadillo-460(SDコネクタ変更後)量産基板の部品配置
9.1. LCD拡張ボードのインターフェース配置
9.2. LCD拡張ボードの基板形状および固定穴寸法
9.3. LCD拡張ボードのコネクタ位置寸法
9.4. LCDとLCD拡張ボードの接続方法
9.5. LCDとLCD拡張基板の接着
9.6. フレキシブルフラットケーブル(FFC)
9.7. Armadillo-440 と LCD拡張ボードの接続方法
9.8. Armadillo-460 と LCD拡張ボードの接続方法
9.9. Armadillo-460 のスリット
9.10. LCD拡張ボードのインターフェース配置
9.11. LCD拡張ボードの基板形状および固定穴寸法
9.12. LCD拡張ボードのコネクタ位置寸法
9.13. LCD拡張ボードのLCD固定金具の参考寸法図
9.14. LCD拡張ボードのLCD固定金具の組み立て例
9.15. LCDとLCD拡張ボードの接続方法
9.16. LCDとLCD拡張基板の接着
9.17. フレキシブルフラットケーブル(FFC)
9.18. Armadillo-440 と LCD拡張ボードの接続方法
9.19. Armadillo-460 と LCD拡張ボードの接続方法
9.20. Armadillo-460 のスリット
9.21. RTCオプションモジュール(型番:OP-A400-RTCMOD-00)のインターフェース配置
9.22. RTCオプションモジュール(型番:OP-A400-RTCMOD-00)の基板形状
9.23. RTCオプションモジュール(型番:OP-A400-RTCMOD-00)組み立て図
9.24. RTCオプションモジュール(型番:OP-A400-RTCMOD-01)のインターフェース配置
9.25. RTCオプションモジュール(型番:OP-A400-RTCMOD-01)の基板形状
9.26. RTCオプションモジュール(型番:OP-A400-RTCMOD-01)組み立て図
9.27. WLANオプションモジュール(AWL12対応)のインターフェース配置
9.28. WLANオプションモジュール(AWL12対応)の基板形状
9.29. AWL12とWLANインターフェースボード(AWL12対応)の組み立て図
9.30. WLANオプションモジュール(AWL12対応)とArmadillo-400シリーズの組み立て図
9.31. WLANオプションモジュール(AWL12対応)と外付けアンテナの組み立て図(評価・開発時)
9.32. 外付けアンテナケーブルの引き抜き方法
9.33. WLANオプションモジュール(AWL13対応)のインターフェース配置
9.34. WLANオプションモジュール(AWL13対応)の基板形状
9.35. AWL13とWLANインターフェースボード(AWL13対応)の組み立て図
9.36. WLANオプションモジュール(AWL13対応)とArmadillo-400シリーズの組み立て図
9.37. WLANオプションモジュール(AWL13対応)と外付けアンテナの組み立て図(評価・開発時)
9.38. 外付けアンテナケーブルの引き抜き方法
10.1. 樹脂ケース寸法図 (単位:mm)
10.2. 金属ケース(上板)寸法図
10.3. 金属ケース(下板)寸法図
10.4. 金属ケース(上板)加工例 (Armadillo-WLAN外付けアンテナ対応寸法図)
A.1. JTAG変換ケーブルの接続図(Armadillo-420,Armadillo-440)
A.2. JTAG変換ケーブルの接続図(Armadillo-460)
A.3. JTAG変換ケーブルの参考回路
D.1. Armadillo-460 抵抗情報
F.1. RS232Cレベル変換アダプタの接続例

表目次

1.1. 各モデルとマニュアルの対応
2.1. 推奨温湿度環境について
2.2. 無線LAN モジュール: AWL13 適合証明情報
2.3. BP3591 各国電波法規制への対応情報
3.1. 「Armadillo-400シリーズ」 ボード仕様
4.1. 物理メモリマップ -「Armadillo-420/440」
4.2. 物理メモリマップ -「Armadillo-460」
4.3. 3.3V高速拡張バスモード時にアクセス可能なCS3/CS4空間
5.1. Armadillo-420インターフェースの内容
5.2. Armadillo-440インターフェースの内容
5.3. 入出力インターフェースの絶対最大定格 - 「Armadillo-420/440」
5.4. 入出力インターフェース電源の電気的仕様 - 「Armadillo-420/440」
5.5. 入出力インターフェースの電気的仕様(OVDD=+3.3V_IO) - 「Armadillo-420/440」
5.6. CON1信号配列 - 「Armadillo-420/440」
5.7. CON2信号配列 - 「Armadillo-420/440」
5.8. CON7信号配列 - 「Armadillo-420/440」
5.9. CON3信号配列 - 「Armadillo-420/440」
5.10. CON4信号配列 - 「Armadillo-420/440」
5.11. USBインターフェース - 「Armadillo-420/440」
5.12. CON5信号配列 - 「Armadillo-420/440」
5.13. CON6信号配列 - 「Armadillo-420/440」
5.14. CON8信号配列 - 「Armadillo-420/440」
5.15. CON9信号配列 - 「Armadillo-420/440」
5.16. CON9信号マルチプレクス - 「Armadillo-420/440」
5.17. CON10信号配列 - 「Armadillo-420/440」
5.18. CON11信号配列 - 「Armadillo-440」
5.19. CON11信号マルチプレクス(1~38ピン) - 「Armadillo-440」
5.20. CON11信号マルチプレクス(39~50ピン) - 「Armadillo-440」
5.21. CON13信号配列 - 「Armadillo-420/440」
5.22. CON14信号配列 - 「Armadillo-420/440」
5.23. CON14信号マルチプレクス - 「Armadillo-420/440」
5.24. LAN LEDの動作 - 「Armadillo-420/440」
5.25. ユーザーLEDの機能 - 「Armadillo-420/440」
5.26. ユーザースイッチの機能 - 「Armadillo-420/440」
5.27. 起動モード設定ジャンパの状態 - 「Armadillo-420/440」
5.28. JP1信号配列 - 「Armadillo-420/440」
5.29. ユーザージャンパの機能 - 「Armadillo-420/440」
5.30. JP2信号配列 - 「Armadillo-420/440」
6.1. Armadillo-460インターフェースの内容
6.2. 入出力インターフェースの絶対最大定格 - 「Armadillo-460」
6.3. 入出力インターフェース電源の電気的仕様 - 「Armadillo-460」
6.4. 入出力インターフェースの電気的仕様(OVDD=+3.3V_IO, +3.3V_EXT) - 「Armadillo-460」
6.5. 拡張バスインターフェースの電源電圧仕様 - 「Armadillo-460」
6.6. 拡張バスインターフェースの絶対最大定格 - 「Armadillo-460」
6.7. 拡張バスインターフェースの電気的仕様 - 「PC/104拡張バス互換モード」
6.8. 拡張バスインターフェースの電気的仕様 - 「3.3V高速拡張バスモード」
6.9. CON1信号配列 - 「Armadillo-460」
6.10. カード検出、 ライトプロテクト検出の機能 - 「Armadillo-460」
6.11. CON23信号配列 - 「Armadillo-460」
6.12. CON25信号配列 - 「Armadillo-460」
6.13. CON2信号配列 - 「Armadillo-460」
6.14. CON7信号配列 - 「Armadillo-460」
6.15. CON3信号配列 - 「Armadillo-460」
6.16. CON4信号配列 - 「Armadillo-460」
6.17. CON19信号配列 - 「Armadillo-460」
6.18. USBインターフェース - 「Armadillo-460」
6.19. CON5信号配列 - 「Armadillo-460」
6.20. CON6信号配列 - 「Armadillo-460」
6.21. CON17信号配列 - 「Armadillo-460」
6.22. CON18信号配列 - 「Armadillo-460」
6.23. CON8信号配列 - 「Armadillo-460」
6.24. リセットスイッチの機能 -「Armadillo-460」
6.25. CON9信号配列 - 「Armadillo-460」
6.26. CON9信号マルチプレクス - 「Armadillo-460」
6.27. CON10信号配列 - 「Armadillo-460」
6.28. CON11信号配列 - 「Armadillo-460」
6.29. CON11信号マルチプレクス(1~38ピン) - 「Armadillo-460」
6.30. CON11信号マルチプレクス(39~50ピン) - 「Armadillo-460」
6.31. CON12信号配列 - 「Armadillo-460」
6.32. CON13信号配列 - 「Armadillo-460」
6.33. CON14信号配列 - 「Armadillo-460」
6.34. CON14信号マルチプレクス - 「Armadillo-460」
6.35. CON20信号配列 - 「Armadillo-460」
6.36. CON21信号配列 - 「Armadillo-460」
6.37. PC/104拡張バス互換モードのJ1信号配列(1) - 「Armadillo-460」
6.38. PC/104拡張バス互換モードのJ1信号配列(2) - 「Armadillo-460」
6.39. PC/104拡張バス互換モードのJ2信号配列(1) - 「Armadillo-460」
6.40. PC/104拡張バス互換モードのJ2信号配列(2) - 「Armadillo-460」
6.41. 3.3V高速拡張バスモードのJ1信号配列(1) - 「Armadillo-460」
6.42. 3.3V高速拡張バスモードのJ1信号配列(2) - 「Armadillo-460」
6.43. 3.3V高速拡張バスモードのJ2信号配列(1) - 「Armadillo-460」
6.44. 3.3V高速拡張バスモードのJ2信号配列(2) - 「Armadillo-460」
6.45. 割り込み検出の種類
6.46. SBHE*とデータアクセスの関係
6.47. PC/104拡張バス互換モードのバスアクセスタイミング
6.48. CPU(i.MX257)と入出力ピン(J1、J2)の間の遅延
6.49. バッファのイネーブル/ディスエーブルが反映されるまでの時間
6.50. EB1*、SA0とデータアクセスの関係
6.51. 入力信号のタイミング
6.52. 出力信号のタイミング
6.53. LAN LEDの動作 - 「Armadillo-460」
6.54. ユーザーLEDの機能 - 「Armadillo-460」
6.55. ユーザースイッチの機能 - 「Armadillo-460」
6.56. CON22信号配列 - 「Armadillo-460」
6.57. 起動モード設定ジャンパの状態 - 「Armadillo-460」
6.58. JP1信号配列 - 「Armadillo-460」
6.59. ユーザージャンパの機能 - 「Armadillo-460」
6.60. JP2信号配列 - 「Armadillo-460」
6.61. RTC仕様 - 「Armadillo-460」
9.1. LCD拡張ボード仕様
9.2. LCDモジュール仕様
9.3. LCD拡張ボードのインターフェース内容
9.4. CON1信号配列
9.5. CON2信号配列
9.6. CON3信号配列
9.7. CON4信号配列
9.8. CON5信号配列
9.9. CON6信号配列
9.10. CON7信号配列
9.11. SW1, SW2, SW3の機能
9.12. SW4, SW5, SW6の機能
9.13. LED1の機能
9.14. LED2、LED3の機能
9.15. 点欠陥の定義
9.16. 点欠陥許容範囲
9.17. LCD拡張ボード仕様
9.18. LCDモジュール仕様
9.19. LCD拡張ボードのインターフェース内容
9.20. CON1信号配列
9.21. CON2信号配列
9.22. CON3信号配列
9.23. CON4信号配列
9.24. CON5信号配列
9.25. CON6信号配列
9.26. CON7信号配列
9.27. CON8信号配列
9.28. CON9信号配列
9.29. CON10信号配列
9.30. SW1, SW2, SW3の機能
9.31. SW4, SW5, SW6の機能
9.32. LED1の機能
9.33. LED2、LED3の機能
9.34. 点欠陥の定義
9.35. 点欠陥許容範囲
9.36. RTCオプションモジュール(型番:OP-A400-RTCMOD-00)仕様
9.37. RTCオプションモジュール(型番:OP-A400-RTCMOD-00)のインターフェース内容
9.38. CON1信号配列
9.39. CON2信号配列
9.40. RTCオプションモジュール(型番:OP-A400-RTCMOD-01)仕様
9.41. RTCオプションモジュール(型番:OP-A400-RTCMOD-01)のインターフェース内容
9.42. CON1信号配列
9.43. CON2信号配列
9.44. WLANオプションモジュール(AWL12対応)仕様
9.45. WLANオプションモジュール(AWL12対応)のインターフェース内容
9.46. WLANオプションモジュール(AWL12対応) CON1 信号配列
9.47. WLANオプションモジュール(AWL12対応) CON3 信号配列
9.48. WLANオプションモジュール(AWL12対応) CON4 信号配列
9.49. WLANオプションモジュール(AWL12対応) CON5、CON6 信号配列
9.50. WLANオプションモジュール(AWL13対応)仕様
9.51. WLANオプションモジュール(AWL13対応)のインターフェース内容
9.52. WLANオプションモジュール(AWL13対応) CON1 信号配列
9.53. WLANオプションモジュール(AWL13対応) CON3 信号配列
9.54. WLANオプションモジュール(AWL13対応) CON4 信号配列
9.55. WLANオプションモジュール(AWL13対応) CON5、CON6 信号配列
10.1. Armadillo-400シリーズ オプションケース(樹脂製)について
10.2. 樹脂ケース仕様
10.3. Armadillo-400シリーズ オプションケース(金属製)について
B.1. 拡張インターフェースの信号状態(i.MX257リセット解除後)
B.2. 拡張インターフェースの信号状態(ブートローダー設定)
C.1. 表C.2「コネクタ型番一覧」のマーク
C.2. コネクタ型番一覧
D.1. 拡張バスインターフェースの電源 V_PC104の選択
D.2. USB Full Speed信号の出力コネクタの選択
E.1. CPLDレジスタのメモリマップ
E.2. Ext Interrupt Status Register0
E.3. Ext Interrupt Status Register0 Description
E.4. Ext Interrupt Status Register1
E.5. Ext Interrupt Status Register1 Description
E.6. Ext Interrupt Mask Register0
E.7. Ext Interrupt Mask Register0 Description
E.8. Ext Interrupt Mask Register1
E.9. Ext Interrupt MASK Register1 Description
E.10. Ext Interrupt Polarity Type Register0
E.11. Ext Interrupt Polarity Type Register0
E.12. Ext Interrupt Polarity Type Register1
E.13. Ext Interrupt Polarity Type Register1
E.14. Ext Interrupt Detection Select Register0
E.15. Ext Interrupt Detection Type Register0
E.16. Ext Interrupt Detection Type Register1
E.17. Ext Interrupt Detection Type Register1 Description
E.18. Ext Bus Control Register
E.19. Ext Bus Control Register Description
E.20. Ext I/F Control Register
E.21. Ext I/F Control Register Description
E.22. RTC Control Register
E.23. RTC Control Description
E.24. CPLD Version Register
E.25. CPLD Version Register Description